• Title/Summary/Keyword: Optical Emission Spectroscopy Sensor

Search Result 21, Processing Time 0.032 seconds

Improved Self Plasma-Optical Emission Spectroscopy for In-situ Plasma Process Monitoring (실시간 플라즈마공정 모니터링을 위한 Self Plasma-Optical Emission Spectroscopy 성능 향상)

  • Jo, Kyung Jae;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.2
    • /
    • pp.75-78
    • /
    • 2017
  • We reports improved monitoring performance of Self plasma-optical emission spectroscopy (SP-OES) by augmenting a by-pass tube to a conventional straight (or single) tube type self plasma reactor. SP-OES has been used as a tool for the monitoring of plasma chemistry indirectly in plasma process system. The benefits of SP-OES are low cost and easy installation, but some semiconductor industries who adopted commercialized SP-OES product experiencing less sensitivity and slow sensor response. OH out-gas chemistry monitoring was performed to have a direct comparison of a conventional single type tube and a by-pass type tube, and fluid dynamic simulation on the improved hardware design was also followed. It is observed faster pumping out of OH from the chamber in the by-pass type SP-OES.

  • PDF

Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching

  • Lee, Ho Jae;Seo, Dong-Sun;May, Gary S.;Hong, Sang Jeen
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.13 no.4
    • /
    • pp.395-401
    • /
    • 2013
  • In-situ optical emission spectroscopy (OES) is employed for leak detection in plasma etching system. A misprocessing is reported for significantly reduced silicon etch rate with chlorine gas, and OES is used as a supplementary sensor to analyze the gas phase species that reside in the process chamber. Potential cause of misprocessing reaches to chamber O-ring wear out, MFC leaks, and/or leak at gas delivery line, and experiments are performed to funnel down the potential of the cause. While monitoring the plasma chemistry of the process chamber using OES, the emission trace for nitrogen species is observed at the chlorine gas supply. No trace of nitrogen species is found in other than chlorine gas supply, and we found that the amount of chlorine gas is slightly fluctuating. We successfully found the root cause of the reported misprocessing which may jeopardize the quality of thin film processing. Based on a quantitative analysis of the amount of nitrogen observed in the chamber, we conclude that the source of the leak is the fitting of the chlorine mass flow controller with the amount of around 2-5 sccm.

PECVD Chamber Cleaning End Point Detection (EPD) Using Optical Emission Spectroscopy Data

  • Lee, Ho Jae;Seo, Dongsun;Hong, Sang Jeen;May, Gary S.
    • Transactions on Electrical and Electronic Materials
    • /
    • v.14 no.5
    • /
    • pp.254-257
    • /
    • 2013
  • In-situ optical emission spectroscopy (OES) is employed for PECVD chamber monitoring. OES is used as an addon sensor to monitoring and cleaning end point detection (EPD). On monitoring plasma chemistry using OES, the process gas and by-product gas are simultaneously monitored. Principal component analysis (PCA) enhances the capability of end point detection using OES data. Through chamber cleaning monitoring using OES, cleaning time is reduced by 53%, in general. Therefore, the gas usage of fluorine is also reduced, so satisfying Green Fab challenge in semiconductor manufacturing.

Experimental Study on Dark Current Noise to Reduce Background Voltage Level of Optical Emission Spectroscopy (광분광기의 노이즈 감소를 위한 암전류에 대한 실험적 고찰)

  • Youngjun Yuk;Keonwoo Lee;Eunjong Choi;Hyoyoung Kim;Kihyun Kim
    • Journal of the Semiconductor & Display Technology
    • /
    • v.22 no.4
    • /
    • pp.93-98
    • /
    • 2023
  • As semiconductor devices become highly integrated and process difficulty increases, the need for highly sensitive sensors that can detect micro leaks is increasing. However, the noise contained in the CCD sensor itself acts as an obstacle to detecting fine leaks. In this study, integration time was changed for each condition, the sensor was cooled to 0℃, and the dark voltage level was measured to confirm through experiment the characteristics of the temporal noise included in the CCD sensor, a component of OES (Optical Emission Spectroscopy). When integration time was reduced from 30msec to 10msec, the dark voltage level decreased by about 20.5 % from an average of 151.5mV to 120.5mV. In the case of cooling device, Peltier elements were selected because of their simple structure and small size. During temperature cooling, the target temperature was controlled to within ±0.5℃ through PID control. When cooled from 20℃ to 0℃ using this cooling device, it was confirmed that the dark voltage level decreased by about 7% from an average of 147.0mV to 137.0mV.

  • PDF

Effect of Al Doping on the Properties of ZnO Nanorods Synthesized by Hydrothermal Growth for Gas Sensor Applications

  • Srivastava, Vibha;Babu, Eadi Sunil;Hong, Soon-Ku
    • Korean Journal of Materials Research
    • /
    • v.30 no.8
    • /
    • pp.399-405
    • /
    • 2020
  • In the present investigation we show the effect of Al doping on the length, size, shape, morphology, and sensing property of ZnO nanorods. Effect of Al doping ultimately leads to tuning of electrical and optical properties of ZnO nanorods. Undoped and Al-doped well aligned ZnO nanorods are grown on sputtered ZnO/SiO2/Si (100) pre-grown seed layer substrates by hydrothermal method. The molar ratio of dopant (aluminium nitrate) in the solution, [Al/Zn], is varied from 0.1 % to 3 %. To extract structural and microstructural information we employ field emission scanning electron microscopy and X-ray diffraction techniques. The prepared ZnO nanorods show preferred orientation of ZnO <0001> and are well aligned vertically. The effects of Al doping on the electrical and optical properties are observed by Hall measurement and photoluminescence spectroscopy, respectively, at room temperature. We observe that the diameter and resistivity of the nanorods reach their lowest levels, the carrier concentration becomes high, and emission peak tends to approach the band edge emission of ZnO around 0.5% of Al doping. Sensing behavior of the grown ZnO nanorod samples is tested for H2 gas. The 0.5 mol% Al-doped sample shows highest sensitivity values of ~ 60 % at 250 ℃ and ~ 50 % at 220 ℃.

Abnormal Detection in 3D-NAND Dielectrics Deposition Equipment Using Photo Diagnostic Sensor

  • Kang, Dae Won;Baek, Jae Keun;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.21 no.2
    • /
    • pp.74-84
    • /
    • 2022
  • As the semiconductor industry develops, the difficulty of newly required process technology becomes difficult, and the importance of production yield and product reliability increases. As an effort to minimize yield loss in the manufacturing process, interests in the process defect process for facility diagnosis and defect identification are continuously increasing. This research observed the plasma condition changes in the multi oxide/nitride layer deposition (MOLD) process, which is one of the 3D-NAND manufacturing processes through optical emission spectroscopy (OES) and monitored the result of whether the change in plasma characteristics generated in repeated deposition of oxide film and nitride film could directly affect the film. Based on these results, it was confirmed that if a change over a certain period occurs, a change in the plasma characteristics was detected. The change may affect the quality of oxide film, such as the film thickness as well as the interfacial surface roughness when the oxide and nitride thin film deposited by plasma enhenced chemical vapor deposition (PECVD) method.

Analysis of H-ICP Source by Noninvasive Plasma Diagnostics of Etching Process

  • Park, Kun-Joo;Kim, Min-Shik;Lee, Kwang-Min;Chae, Hee-Yeop;Lee, Hi-Deok
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.126-126
    • /
    • 2009
  • Noninvasive plasma diagnostic technique is introduced to analyze and characterize HICP (Helmholtz Inductively Coupled Plasma) source during the plasma etching process. The HICP reactor generates plasma mainly through RF source power at 13.56MHz RF power and RF bias power of 12.56MHz is applied to the cathode to independently control ion density and ion energy. For noninvasive sensors, the RF sensor and the OES (Optical emission spectroscopy) were employed since it is possible to obtain both physical and chemical properties of the reactor with plasma etching. The plasma impedance and optical spectra were observed while altering process parameters such as pressure, gas flow, source and bias power during the poly silicon etching process. In this experiment, we have found that data measured from these noninvasive sensors can be correlated to etch results. In this paper, we discuss the relationship between process parameters and the measurement data from RF sensor and OES such as plasma impedance and optical spectra and using these relationships to analyze and characterize H-ICP source.

  • PDF

The Fabrication of an Applicative Device for Trench Width and Depth Using Inductively Coupled Plasma and the Bulk Silicon Etching Process

  • Woo, Jong-Chang;Choi, Chang-Auck;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.15 no.1
    • /
    • pp.49-54
    • /
    • 2014
  • In this study, we carried out an investigation of the etch characteristics of silicon (Si) film, and the selectivity of Si to $SiO_2$ in $SF_6/O_2$ plasma. The etch rate of the Si film was decreased on adding $O_2$ gas, and the selectivity of Si to $SiO_2$ was increased, on adding $O_2$ gas to the $SF_6$ plasma. The optical condition of the Si film with this work was 1,350 nm/min, at a gas mixing ratio of $SF_6/O_2$ (=130:30 sccm). At the same time, the etch rate was measured as functions of the various etching parameters. The X-ray photoelectron spectroscopy analysis showed the efficient destruction of oxide bonds by ion bombardment, as well as the accumulation of high volatile reaction products on the etched surface. Field emission auger electron spectroscopy analysis was used to examine the efficiency of the ion-stimulated desorption of the reaction products.

Endpoint Detection Using Hybrid Algorithm of PLS and SVM (PLS와 SVM복합 알고리즘을 이용한 식각 종료점 검출)

  • Lee, Yun-Keun;Han, Yi-Seul;Hong, Sang-Jeen;Han, Seung-Soo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.24 no.9
    • /
    • pp.701-709
    • /
    • 2011
  • In semiconductor wafer fabrication, etching is one of the most critical processes, by which a material layer is selectively removed. Because of difficulty to correct a mistake caused by over etching, it is critical that etch should be performed correctly. This paper proposes a new approach for etch endpoint detection of small open area wafers. The traditional endpoint detection technique uses a few manually selected wavelengths, which are adequate for large open areas. As the integrated circuit devices continue to shrink in geometry and increase in device density, detecting the endpoint for small open areas presents a serious challenge to process engineers. In this work, a high-resolution optical emission spectroscopy (OES) sensor is used to provide the necessary sensitivity for detecting subtle endpoint signal. Partial Least Squares (PLS) method is used to analyze the OES data which reduces dimension of the data and increases gap between classes. Support Vector Machine (SVM) is employed to detect endpoint using the data after PLS. SVM classifies normal etching state and after endpoint state. Two data sets from OES are used in training PLS and SVM. The other data sets are used to test the performance of the model. The results show that the trained PLS and SVM hybrid algorithm model detects endpoint accurately.

Virtual Metrology for predicting $SiO_2$ Etch Rate Using Optical Emission Spectroscopy Data

  • Kim, Boom-Soo;Kang, Tae-Yoon;Chun, Sang-Hyun;Son, Seung-Nam;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.464-464
    • /
    • 2010
  • A few years ago, for maintaining high stability and production yield of production equipment in a semiconductor fab, on-line monitoring of wafers is required, so that semiconductor manufacturers are investigating a software based process controlling scheme known as virtual metrology (VM). As semiconductor technology develops, the cost of fabrication tool/facility has reached its budget limit, and reducing metrology cost can obviously help to keep semiconductor manufacturing cost. By virtue of prediction, VM enables wafer-level control (or even down to site level), reduces within-lot variability, and increases process capability, $C_{pk}$. In this research, we have practiced VM on $SiO_2$ etch rate with optical emission spectroscopy(OES) data acquired in-situ while the process parameters are simultaneously correlated. To build process model of $SiO_2$ via, we first performed a series of etch runs according to the statistically designed experiment, called design of experiments (DOE). OES data are automatically logged with etch rate, and some OES spectra that correlated with $SiO_2$ etch rate is selected. Once the feature of OES data is selected, the preprocessed OES spectra is then used for in-situ sensor based VM modeling. ICP-RIE using 葰.56MHz, manufactured by Plasmart, Ltd. is employed in this experiment, and single fiber-optic attached for in-situ OES data acquisition. Before applying statistical feature selection, empirical feature selection of OES data is initially performed in order not to fall in a statistical misleading, which causes from random noise or large variation of insignificantly correlated responses with process itself. The accuracy of the proposed VM is still need to be developed in order to successfully replace the existing metrology, but it is no doubt that VM can support engineering decision of "go or not go" in the consecutive processing step.

  • PDF