• Title/Summary/Keyword: OES(optical emission spectroscopy)

Search Result 208, Processing Time 0.029 seconds

Improved Self Plasma-Optical Emission Spectroscopy for In-situ Plasma Process Monitoring (실시간 플라즈마공정 모니터링을 위한 Self Plasma-Optical Emission Spectroscopy 성능 향상)

  • Jo, Kyung Jae;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.16 no.2
    • /
    • pp.75-78
    • /
    • 2017
  • We reports improved monitoring performance of Self plasma-optical emission spectroscopy (SP-OES) by augmenting a by-pass tube to a conventional straight (or single) tube type self plasma reactor. SP-OES has been used as a tool for the monitoring of plasma chemistry indirectly in plasma process system. The benefits of SP-OES are low cost and easy installation, but some semiconductor industries who adopted commercialized SP-OES product experiencing less sensitivity and slow sensor response. OH out-gas chemistry monitoring was performed to have a direct comparison of a conventional single type tube and a by-pass type tube, and fluid dynamic simulation on the improved hardware design was also followed. It is observed faster pumping out of OH from the chamber in the by-pass type SP-OES.

  • PDF

In-situ Endpoint Detection for Dielectric Films Plasma Etching Using Plasma Impedance Monitoring and Self-plasma Optical Emission Spectroscopy with Modified Principal Component Analysis

  • Jang, Hae-Gyu;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.153-153
    • /
    • 2012
  • Endpoint detection with plasma impedance monitoring and self-plasma optical emission spectroscopy is demonstrated for dielectric layers etching processes. For in-situ detecting endpoint, optical-emission spectroscopy (OES) is used for in-situ endpoint detection for plasma etching. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. To overcome these problems, the endpoint was determined by impedance signal variation from I-V monitoring (VI probe) and self-plasma optical emission spectroscopy. In addition, modified principal component analysis was applied to enhance sensitivity for small area etching. As a result, the sensitivity of this method is increased about twice better than that of OES. From plasma impedance monitoring and self-plasma optical emission spectroscopy, properties of plasma and chamber are analyzed, and real-time endpoint detection is achieved.

  • PDF

Quantitative Analysis for Plasma Etch Modeling Using Optical Emission Spectroscopy: Prediction of Plasma Etch Responses

  • Jeong, Young-Seon;Hwang, Sangheum;Ko, Young-Don
    • Industrial Engineering and Management Systems
    • /
    • v.14 no.4
    • /
    • pp.392-400
    • /
    • 2015
  • Monitoring of plasma etch processes for fault detection is one of the hallmark procedures in semiconductor manufacturing. Optical emission spectroscopy (OES) has been considered as a gold standard for modeling plasma etching processes for on-line diagnosis and monitoring. However, statistical quantitative methods for processing the OES data are still lacking. There is an urgent need for a statistical quantitative method to deal with high-dimensional OES data for improving the quality of etched wafers. Therefore, we propose a robust relevance vector machine (RRVM) for regression with statistical quantitative features for modeling etch rate and uniformity in plasma etch processes by using OES data. For effectively dealing with the OES data complexity, we identify seven statistical features for extraction from raw OES data by reducing the data dimensionality. The experimental results demonstrate that the proposed approach is more suitable for high-accuracy monitoring of plasma etch responses obtained from OES.

Plasma monitoring using optical emission spectroscopy and expert system (광반사분광기와 전문가 시스템을 이용한 플라즈마 감시)

  • Kim, Dae-Hyeon;Kim, Byeong-Hwan
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.10a
    • /
    • pp.235-236
    • /
    • 2009
  • 본 연구에서는 Optical emission spectroscopy (OES)에 CUSUM과 전문가 시스템을 이용하여 플라즈마를 감시하는 기법을 개발하였다. CUSUM과 Dempster-Shafer를 이용하여 고장에 민감한 OES파장을 추출하였으며, 추출된 파장은 플라즈마 감시에 이용될 것으로 기대된다.

  • PDF

Actinometric Investigation of In-Situ Optical Emission Spectroscopy Data in SiO2 Plasma Etch

  • Kim, Boom-Soo;Hong, Sang-Jeen
    • Transactions on Electrical and Electronic Materials
    • /
    • v.13 no.3
    • /
    • pp.139-143
    • /
    • 2012
  • Optical emission spectroscopy (OES) is often used for real-time analysis of the plasma processes. OES has been suggested as a primary plasma process monitoring tool. It has the advantage of non-invasive in-situ monitoring capability but selecting the proper wavelengths for the analysis of OES data generally relies on empirically established methods. In this paper, we propose a practical method for the selection of OES wavelength peaks for the analysis of plasma etch process and this is done by investigating reactants and by-product gas species that reside in the plasma etch chamber. Wavelength selection criteria are based on the standard deviation and correlation coefficients. Moreover, chemical actinometry is employed for the normalization of the selected wavelengths. We also present the importance of chemical actinometry of OES data for quantitative analysis of plasma. Then, the suggested OES peak selection method is employed.. This method is used to find out the reason behind abnormal etching of PR erosion during a series of $SiO_2$ etch processes using the same recipe. From the experimental verification, we convinced that OES is not only capable for real-time detection of abnormal plasma process but it is also useful for the analysis of suspicious plasma behavior.

Relative Transmittance and Emission Intensity of Optical Emission Spectroscopy for Fault Detection Application of Reactive Ion Etching (Reactive Ion Etching에서 Optical Emission Spectroscopy의 투과율과 강도를 이용한 에러 감지 기술 제안)

  • Park, Jin-Su;Mun, Sei-Young;Cho, Il-Hwan;Hong, Sang-Jeen
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.473-474
    • /
    • 2008
  • This paper proposes that the relative transmittance and emission intensity measured via optical emission spectroscopy (OES) is a useful for fault detection of reactive ion etch process. With the increased requests for non-invasive as well as real-time plasma process monitoring for fault detection and classification (FDC), OES is suggested as a useful diagnostic tool that satisfies both of the requirements. Relative optical transmittance and emission intensity of oxygen plasma acquired from various process conditions are directly compared with the process variables, such as RF power, oxygen flow and chamber pressure. The changes of RF power and Pressure are linearly proportional to the emission intensity while the change of gas flow can be detected with the relative transmittance.

  • PDF

PECVD Chamber Cleaning End Point Detection (EPD) Using Optical Emission Spectroscopy Data

  • Lee, Ho Jae;Seo, Dongsun;Hong, Sang Jeen;May, Gary S.
    • Transactions on Electrical and Electronic Materials
    • /
    • v.14 no.5
    • /
    • pp.254-257
    • /
    • 2013
  • In-situ optical emission spectroscopy (OES) is employed for PECVD chamber monitoring. OES is used as an addon sensor to monitoring and cleaning end point detection (EPD). On monitoring plasma chemistry using OES, the process gas and by-product gas are simultaneously monitored. Principal component analysis (PCA) enhances the capability of end point detection using OES data. Through chamber cleaning monitoring using OES, cleaning time is reduced by 53%, in general. Therefore, the gas usage of fluorine is also reduced, so satisfying Green Fab challenge in semiconductor manufacturing.

Modified Principal Component Analysis for In-situ Endpoint Detection of Dielectric Layers Etching Using Plasma Impedance Monitoring and Self Plasma Optical Emission Spectroscopy

  • Jang, Hae-Gyu;Choi, Sang-Hyuk;Chae, Hee-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.182-182
    • /
    • 2012
  • Plasma etching is used in various semiconductor processing steps. In plasma etcher, optical- emission spectroscopy (OES) is widely used for in-situ endpoint detection. However, the sensitivity of OES is decreased if polymer is deposited on viewport or the proportion of exposed area on the wafer is too small. Because of these problems, the object is to investigate the suitability of using plasma impedance monitoring (PIM) and self plasma optical emission spectrocopy (SPOES) with statistical approach for in-situ endpoint detection. The endpoint was determined by impedance signal variation from I-V monitor (VI probe) and optical emission signal from SPOES. However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ and SiNx layers are etched by fluorocarbon on inductive coupled plasma (ICP) etcher, if the proportion of $SiO_2$ and SiNx area on Si wafer are small. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance monitoring is compared with optical emission spectroscopy.

  • PDF

RF Plasma Processes Monitoring for Fluorocarbon Polluted Plasma Chamber Cleaning by Optical Emission Spectroscopy and Multivariate Analysis (Optical Emission Spectra 신호와 다변량분석기법을 통한 Fluorocarbon에 의해 오염된 반응기의 RF 플라즈마 세정공정 진단)

  • Jang, Hae-Gyu;Lee, Hak-Seung;Chae, Hui-Yeop
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2015.11a
    • /
    • pp.242-243
    • /
    • 2015
  • Fault detection using optical emission spectra with modified K-means cluster analysis and principal component anal ysis are demonstrated for inductive coupl ed pl asma cl eaning processes. The optical emission spectra from optical emission spectroscopy (OES) are used for measurement. Furthermore, Principal component analysis and K-means cluster analysis algorithm is modified and applied to real-time detection and sensitivity enhancement for fluorocarbon cleaning processes. The proposed techniques show clear improvement of sensitivity and significant noise reduction when they are compared with single wavelength signals measured by OES. These techniques are expected to be applied to various plasma monitoring applications including fault detections as well as chamber cleaning endpoint detection.

  • PDF

Nitride/Oxide Etch Spectrum Data Verification by Using Optical Emission Spectroscopy (OES를 이용한 질화막/산화막의 식각 스펙트럼 데이터 분석)

  • Park, Soo-Kyoung;Kang, Dong-Hyun;Han, Seung-Soo;Hong, Sang-Jeen
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.25 no.5
    • /
    • pp.353-360
    • /
    • 2012
  • As semiconductor device technology continuously shrinks, low-open area etch process prevails in front-end etch process, such as contact etch as well as one cylindrical storage (OCS) etch. To eliminate over loaded wafer processing test, it is commonly performed to emply diced small coupons at stage of initiative process development. In nominal etch condition, etch responses of whole wafer test and coupon test may be regarded to provide similar results; however, optical emission spectroscopy (OES) which is frequently utilize to monitor etch chemistry inside the chamber cannot be regarded as the same, especially etch mask is not the same material with wafer chuck. In this experiment, we compared OES data acquired from two cases of etch experiments; one with coupon etch tests mounted on photoresist coated wafer and the other with coupons only on the chuck. We observed different behaviors of OES data from the two sets of experiment, and the analytical results showed that careful investigation should be taken place in OES study, especially in coupon size etch.