• Title/Summary/Keyword: Mask Patterning

Search Result 105, Processing Time 0.02 seconds

The Effect of Mask Patterns on Microwire Formation in p-type Silicon (P-형 실리콘에서 마이크로 와이어 형성에 미치는 마스크 패턴의 영향)

  • Kim, Jae-Hyun;Kim, Kang-Pil;Lyu, Hong-Kun;Woo, Sung-Ho;Seo, Hong-Seok;Lee, Jung-Ho
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.418-418
    • /
    • 2008
  • The electrochemical etching of silicon in HF-based solutions is known to form various types of porous structures. Porous structures are generally classified into three categories according to pore sizes: micropore (below 2 nm in size), mesopore (2 ~ 50 nm), and macropore (above 50 nm). Recently, the formation of macropores has attracted increasing interest because of their promising characteristics for an wide scope of applications such as microelectromechanical systems (MEMS), chemical sensors, biotechnology, photonic crystals, and photovoltaic application. One of the promising applications of macropores is in the field of MEMS. Anisotropic etching is essential step for fabrication of MEMS. Conventional wet etching has advantages such as low processing cost and high throughput, but it is unsuitable to fabricate high-aspect-ratio structures with vertical sidewalls due to its inherent etching characteristics along certain crystal orientations. Reactive ion dry etching is another technique of anisotropic etching. This has excellent ability to fabricate high-aspect-ratio structures with vertical sidewalls and high accuracy. However, its high processing cost is one of the bottlenecks for widely successful commercialization of MEMS. In contrast, by using electrochemical etching method together with pre-patterning by lithographic step, regular macropore arrays with very high-aspect-ratio up to 250 can be obtained. The formed macropores have very smooth surface and side, unlike deep reactive ion etching where surfaces are damaged and wavy. Especially, to make vertical microwire or nanowire arrays (aspect ratio = over 1:100) on silicon wafer with top-down photolithography, it is very difficult to fabricate them with conventional dry etching. The electrochemical etching is the most proper candidate to do it. The pillar structures are demonstrated for n-type silicon and the formation mechanism is well explained, while such a experimental results are few for p-type silicon. In this report, In order to understand the roles played by the kinds of etching solution and mask patterns in the formation of microwire arrays, we have undertaken a systematic study of the solvent effects in mixtures of HF, dimethyl sulfoxide (DMSO), iso-propanol, and mixtures of HF with water on the structure formation on monocrystalline p-type silicon with a resistivity with 10 ~ 20 $\Omega{\cdot}cm$. The different morphological results are presented according to mask patterns and etching solutions.

  • PDF

The Fabrication of OTFT-OLED Array Using Ag-paste for Source and Drain Electrode (Ag 페이스트를 소스와 드레인 전극으로 사용한 OTFT-OLED 어레이 제작)

  • Ryu, Gi-Seong;Kim, Young-Bae;Song, Chung-Kun
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.45 no.5
    • /
    • pp.12-18
    • /
    • 2008
  • Ag paste was employed for source and drain electrode of OTFTs and for the data metal lines of OTFT-OLED array on PC(polycarbonate) substrate. We tested two kinds of Ag-pastes such as pastes for 325 mesh and 500 mesh screen mask to examine the pattern ability and electrical performance for OTFTs. The minimum feature size was 60 ${\mu}m$ for 325 mesh screen mask and 40 ${\mu}m$ for 500 mesh screen mask. The conductivity was 60 $m{\Omega}/\square$ for 325 mesh and 133.1 $m{\Omega}/\square$ for 500 mesh. For the OTFT performance the mobility was 0.35 $cm^2/V{\cdot}sec$ and 0.12 $cm^2/V{\cdot}sec$, threshold voltage was -4.7 V and 0.9 V, respectively, and on/off current ratio was ${\sim}10^5$, for both screen masks. We applied the 500 mash Ag paste to OTFT-OLED array because of its good patterning property. The pixel was composed of two OTFTs and one capacitor and one OLED in the area of $2mm{\times}2mm$. The panel successfully worked in active mode operation even though there were a few bad pixels.

A study of fabrication micro bump for TSP testing using maskless lithography system. (Maskless Lithography system을 이용한 TSP 검사 용 micro bump 제작에 관한 연구.)

  • Kim, Ki-Beom;Han, Bong-Seok;Yang, Ji-Kyung;Han, Yu-Jin;Kang, Dong-Seong;Lee, In-Cheol
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.18 no.5
    • /
    • pp.674-680
    • /
    • 2017
  • Touch Screen Panel (TSP) is a widely used personal handheld device and as a large display apparatus. This study examines micro bump fabrication technology for TSP test process. In the testing process, as TSP is changed, should make a new micro bump for probing and modify the testing program. In this paper we use a maskless lithography system to confirm the potential to fabricatemicro bump to reducecost and manufacturing time. The requiredmaskless lithography system does not use a mask so it can reduce the cost of fabrication and it flexible to cope with changes of micro bump probing. We conducted electro field simulation by pitches of micro bump and designed the lithography pattern image for the maskless lithography process. Then we conducted Photo Resist (PR) patterning process and electro-plating process that are involved in MEMS technology to fabricate micro bump.

Nano-patterning technology using an UV-NIL method (UV-NIL(Ultraviolet-Nano-Imprinting-Lithography) 방법을 이용한 나노 패터닝기술)

  • 심영석;정준호;손현기;신영재;이응숙;최성욱;김재호
    • Journal of the Korean Vacuum Society
    • /
    • v.13 no.1
    • /
    • pp.39-45
    • /
    • 2004
  • Ultraviolet-nanoimprint lithography (UV-NIL) is a promising method for cost-effectively defining nanoscale structures at room temperature and low pressure. A 5${\times}$5${\times}$0.09 in. quartz stamp is fabricated using the etch process in which a Cr film was employed as a hard mask for transferring nanostructures onto the quartz plate. FAS(Fluoroalkanesilane) is used as a material for anti-adhesion surface treatment on the stamp and a thin organic film to improve adhesion on a wafer is formed by spin-coating. The low viscosity resin droplets with a nanometer scale volume are dispensed on the whole area of the coated wafer. The UV-NIL experiments have been performed using the EVG620-NIL. 370 nm - 1 m features on the stamp have been transferred to the thin resin layer on the wafer using the multi-dispensing method and UV-NIL process. We have measured the imprinted patterns and residual layer using SEM and AFM to evaluate the potential of the process.

다채널 표면 플라즈몬 공명 영상장치를 이용한 자기조립 단분자막의 표면 분석

  • Pyo, Hyeon-Bong;Sin, Yong-Beom;Yun, Hyeon-Cheol
    • 한국생물공학회:학술대회논문집
    • /
    • 2003.04a
    • /
    • pp.74-78
    • /
    • 2003
  • Multi-channel images of 11-MUA and 11-MUOH self-assembled monolayers were obtained by using two-dimensional surface plasmon resonance (SPR) absorption. Patterning process was simplified by exploiting direct photo-oxidation of thiol bonding (photolysis) instead of conventional photolithography. Sharper images were resolved by using a white light source in combination with a narrow bandpass filter in the visible region, minimizing the diffraction patterns on the images. The line profile calibration of the image contrast caused by different resonance conditions at each points on the sensor surface (at a fixed incident angle) enables us to discriminate the monolayer thickness in sub-nanometer scale. Furthermore, there is no signal degradation such as photo bleaching or quenching which are common in the detection methods based on the fluorescence.

  • PDF

Effects of Process Conditions on Electrode Patterning by Screen Printing Method (스크린 인쇄법의 공정 조건이 전극 패턴 균일성에 미치는 영향)

  • Lee, Na-Young;Kim, Dong-Chul;Yeo, Dong-Hun;Lee, Joo-Sung;Yoon, Sang Ok;Shin, Hyo-Soon;Lee, Joon-Hyung
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.33 no.5
    • /
    • pp.355-359
    • /
    • 2020
  • In this study, image analysis and surface roughness measurements using an optical microscope are presented as a method to quantitatively evaluate the results of screen printing. Using this method, the squeegee speed, which is the printing process condition, and the printability of the electrode according to the screen mesh were evaluated. Increasing the squeegee speed in the printing process acts as a process element that increases the line width precision of the printed electrode and lowers the surface roughness of the printed surface. Furthermore, the edge roughness, which indicates the clarity of printing, was not significantly affected by the speed of the squeegee during printing. The print thickness increases in proportion to the squeegee speed, but is largely dependent on the screen thickness.

Micropatterning by Low-Energy Focused ton Beam Lithography(FIBL) (저에너지 집속이온빔리소그라피(FIBL)에 의한 미세패턴 형성)

  • 이현용;김민수;정홍배
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1995.11a
    • /
    • pp.224-227
    • /
    • 1995
  • The micro-patterning by a Bow energy FIB whish has been conventionally utilized far mask-repairing was investigated. Amorphous Se$\_$75/Gee$\_$25/ resist irradiated by 9[keV]-defocused Ga$\^$+/ ion beam(∼10$\^$15/[ions/$\textrm{cm}^2$]) resulted in increasing the optical absorption, which was also observed also in the film exposed by an optical dose of 4.5${\times}$10$\^$20/[photons/$\textrm{cm}^2$]. The ∼0.3[eV] edge shift for ion-irradiated film was about twice to that obtained for photo-exposed. These large shift could be estimated as due to an increase in disorder from the decrease in the sloop of the Urbach tail. For Ga$\^$+/ FIB irradiation with a relatively low energy, 30[keV] and above the amount of dose of 1.4${\times}$10$\^$16/[ions/$\textrm{cm}^2$], the irradiated region in a-Se$\_$75/Ge$\_$25/ resist was perfectly etched in acid solution for 10[sec], which is relatively a short development time. A contrast was about 2.5. In spite of the relatively low incident energy,∼0.225[$\mu\textrm{m}$] pattern was clearly obtained by the irradiation of a dose 6.5${\times}$10$\^$16/[ions/$\textrm{cm}^2$] and a scan diameter 0.2[$\mu\textrm{m}$], from which excellent results were expected fur incident energies above 50[keV] which was conventionally used in FIBL.

  • PDF

Transmittance controlled photomasks by use of backside phase patterns (후면 위상 패턴을 이용한 투과율 조절 포토마스크)

  • Park, Jong-Rak;Park, Jin-Hong
    • Korean Journal of Optics and Photonics
    • /
    • v.15 no.1
    • /
    • pp.79-85
    • /
    • 2004
  • We report on a transmittance controlled photomask with phase patterns on the back quartz surface. Theoretical analysis for changes in illumination pupil shape with respect to the variation of size and density of backside phase patterns and experimental results for improvement of critical dimension uniformity on a wafer by using the transmittance controlled photomask are presented. As phase patterns for controlling transmittance of the photomask we used etched contact-hole type patterns with 180" rotative phase with respect to the unetched region. It is shown that pattern size on the backside of the photomask must be made as small as possible in order to keep the illumination pupil shape as close as possible to the original pupil shape and to achieve as large an illumination intensity drop as possible at a same pattern density. The distribution of illumination intensity drop suitable for correcting critical dimension error was realized by controlling pattern density of the contact-hole type phase patterns. We applied this transmittance controlled photomask to a critical layer of DRAM (Dynamic Random Access Memory) having a 140nm design rule and could achieve improvement of the critical dimension uniformity value from 24.0 nm to 10.7 nm in 3$\sigma$.TEX>.

Changes of dielectric surface state In organic TFTs on flexible substrate (유연한 기판상의 유기 트랜지스터의 절연 표면층 상태 변화에 의한 전기적 특성 향상)

  • Kim, Jong-Moo;Lee, Joo-Woo;Kim, Young-Min;Park, Jung-Soo;Kim, Jae-Gyeong;Jang, Jin;Oh, Myung-Hwan;Ju, Byeong-Kwon
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.05a
    • /
    • pp.86-89
    • /
    • 2004
  • Organic thin film transistors (OTFTs) are fabricated on the plastic substrate through 4-level mask process without photolithographic patterning to yield the simple fabrication process. And we herewith report for the effect of dielectric surface modification on the electrical characteristics of OTFTs. The KIST-JM-1 as an organic molecule for the surface modification is deposited onto the surface of zirconium oxide $(ZrO_2)$ gate dielectric layer. In this work, we have examined the dependence of electrical performance on the interface surface state of gate dielectric/pentacene, which may be modified by chemical properties in the gate dielectric surface.

  • PDF

Dry Etching of Pt/RuO$_{2}$ for Pb(Zr,Ti)O$_{3}$ by High Density Plasma (고밀도 플라즈마를 이용한 PZT용 Pt/RuO$_{2}$ 이중박막의 식각)

  • Lee, Jong-Geun;Park, Se-Geun
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.37 no.3
    • /
    • pp.1-5
    • /
    • 2000
  • Inductively coupled plasma (ICP) excited by a spiral planar antenna is used to etch elctrodes for PZT capacitors. Pt/RuO$_{2}$ bilayers are tested as bottom electrodes for PZT capacitors in order to utilize better leakage characteristics of Pt and easy etch characteristics of RuO$_{2}$ at the same time. The etch rates and selectivities to SiO$_{2}$ hard mask have been measured for each of Pt and RuO$_{2}$ in terms of various plasma conditions. As Cl$_{2}$ ratio increases in $O_{2}$/Cl$_{2}$ mixture, the etch rate of Pt increases while that of RuO$_{2}$ reaches the highest near 10 % of Cl$_{2}$. Optimum gas mixture ratio has been determined for etching Pt and RuO$_{2}$ bilayers sequentially, and sub-half micron patterning is demonstrated.

  • PDF