• Title/Summary/Keyword: Ion etching

Search Result 728, Processing Time 0.033 seconds

Inductively Coupled Plasma Reactive Ion Etching of MgO Thin Films Using a $CH_4$/Ar Plasma

  • Lee, Hwa-Won;Kim, Eun-Ho;Lee, Tae-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.77-77
    • /
    • 2011
  • These days, a growing demand for memory device is filled up with the flash memory and the dynamic random access memory (DRAM). Although DRAM is a reasonable solution for current demand, the universal novel memory with high density, high speed and nonvolatility, needs to be developed. Among various new memories, the magnetic random access memory (MRAM) device is considered as one of good candidate memories because of excellent features including high density, high speed, low operating power and nonvolatility. The etching of MTJ stack which is composed of magnetic materials and insulator such as MgO is one of the vital process for MRAM. Recently, MgO has attracted great interest in the MTJ stack as tunneling barrier layer for its high tunneling magnetoresistance values. For the successful realization of high density MRAM, the etching process of MgO thin films should be investigated. Until now, there were some works devoted to the investigations on etch characteristics of MgO thin films. Initially, ion milling was applied to the etching of MgO thin films. However, ion milling has many disadvantages such as sidewall redeposition and etching damage. High density plasma etching containing the magnetically enhanced reactive ion etching and high density reactive ion etching have been employed for the improvement of etching process. In this work, inductively coupled plasma reactive ion etching (ICPRIE) system was adopted for the improvement of etching process using MgO thin films and etching gas mixes of $CH_4$/Ar and $CH_4$/$O_2$/Ar have been employed. The etch rates are measured by a surface profilometer and etch profiles are observed using field emission scanning emission microscopy (FESEM). The effects of gas concentration and etch parameters such as coil rf power, dc-bias voltage to substrate, and gas pressure on etch characteristics will be systematically explored.

  • PDF

Silicon Etching Process of NF3 Plasma with Residual Gas Analyzer and Optical Emission Spectroscopy in Intermediate Pressure (잔류가스분석기 및 발광 분광 분석법을 통한 중간압력의 NF3 플라즈마 실리콘 식각 공정)

  • Kwon, Hee Tae;Kim, Woo Jae;Shin, Gi Won;Lee, Hwan Hee;Lee, Tae Hyun;Kwon, Gi-Chung
    • Journal of the Semiconductor & Display Technology
    • /
    • v.17 no.4
    • /
    • pp.97-100
    • /
    • 2018
  • $NF_3$ Plasma etching of silicon was conducted by injecting only $NF_3$ gas into reactive ion etching. $NF_3$ Plasma etching was done in intermediate pressure. Silicon etching by $NF_3$ plasma in reactive ion etching was diagnosed through residual gas analyzer and optical emission spectroscopy. In plasma etching, optical emission spectroscopy is generally used to know what kinds of species in plasma. Also, residual gas analyzer is mainly to know the byproducts of etching process. Through experiments, the results of optical emission spectroscopy during silicon etching by $NF_3$ plasma was analyzed with connecting the results of etch rate of silicon and residual gas analyzer. It was confirmed that $NF_3$ plasma etching of silicon in reactive ion etching accords with the characteristic of reactive ion etching.

The surface propery change of multi-layer thin film on ceramic substrate by ion beam sputtering (이온빔 스퍼터링법에 의한 다층막의 표면특성변화)

  • Lee, Chan-Young;Lee, Jae-Sang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.259-259
    • /
    • 2008
  • The LTCC (Low Temperature Co-fired Ceramic) technology meets the requirements for high quality microelectronic devices and microsystems application due to a very good electrical and mechanical properties, high reliability and stability as well as possibility of making integrated three dimensional microstructures. The wet process, which has been applied to the etching of the metallic thin film on the ceramic substrate, has multi process steps such as lithography and development and uses very toxic chemicals arising the environmental problems. The other side, Plasma technology like ion beam sputtering is clean process including surface cleaning and treatment, sputtering and etching of semiconductor devices, and environmental cleanup. In this study, metallic multilayer pattern was fabricated by the ion beam etching of Ti/Pd/Cu without the lithography. In the experiment, Alumina and LTCC were used as the substrate and Ti/Pd/Cu metallic multilayer was deposited by the DC-magnetron sputtering system. After the formation of Cu/Ni/Au multilayer pattern made by the photolithography and electroplating process, the Ti/Pd/Cu multilayer was dry-etched by using the low energy-high current ion-beam etching process. Because the electroplated Au layer was the masking barrier of the etching of Ti/Pd/Cu multilayer, the additional lithography was not necessary for the etching process. Xenon ion beam which having the high sputtering yield was irradiated and was used with various ion energy and current. The metallic pattern after the etching was optically examined and analyzed. The rate and phenomenon of the etching on each metallic layer were investigated with the diverse process condition such as ion-beam acceleration energy, current density, and etching time.

  • PDF

Electrical characteristic and surface morphology of IBE-etched Silicon (이온빔 에칭된 실리콘의 전기적 특성 및 표면 morphology)

  • 지희환;최정수;김도우;구경완;왕진석
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.07a
    • /
    • pp.279-282
    • /
    • 2001
  • The IBE(ion beam etching)-induced Schottky barrier variation which depends on various etching history related with ion energy, incident angle and etching time has been investigated using voltage-current, capacitance-voltage characteristics of metal-etched silicon contact and morphology of etched surface were studied using AFM(atomic force microscope). For ion beam etched n-type silicons, Schottky barrier is reduced according to ion beam energy. It can be seen that amount of donor-like positive charge created in the damaged layer is proportional to the ion energy. By contrary, for ion beam etched p-type silicons, the Schottky barrier and specific contact resistance are both increased. Not only etching time but also incident angle of ion beam has an effect on barrier height. Taping-mode AFM analysis shows increased roughness RMS(Root-Mean-Square) and depth distribution due to ion bombardment. Annealing in an N$_2$ ambient for 30 min was found to be effective in improving the diode characteristics of the etched samples and minimum annealing temperatures to recover IBE-induced barrier variation were related to ion beam energy.

  • PDF

Development of New Etching Algorithm for Ultra Large Scale Integrated Circuit and Application of ICP(Inductive Coupled Plasma) Etcher (초미세 공정에 적합한 ICP(Inductive Coupled Plasma) 식각 알고리즘 개발 및 3차원 식각 모의실험기 개발)

  • 이영직;박수현;손명식;강정원;권오근;황호정
    • Proceedings of the IEEK Conference
    • /
    • 1999.06a
    • /
    • pp.942-945
    • /
    • 1999
  • In this work, we proposed Proper etching algorithm for ultra-large scale integrated circuit device and simulated etching process using the proposed algorithm in the case of ICP (inductive coupled plasma) 〔1〕source. Until now, many algorithms for etching process simulation have been proposed such as Cell remove algorithm, String algorithm and Ray algorithm. These algorithms have several drawbacks due to analytic function; these algorithms are not appropriate for sub 0.1 ${\mu}{\textrm}{m}$ device technologies which should deal with each ion. These algorithms could not present exactly straggle and interaction between Projectile ions and could not consider reflection effects due to interactions among next projectile ions, reflected ions and sputtering ions, simultaneously In order to apply ULSI process simulation, algorithm considering above mentioned interactions at the same time is needed. Proposed algorithm calculates interactions both in plasma source region and in target material region, and uses BCA (binary collision approximation4〕method when ion impact on target material surface. Proposed algorithm considers the interaction between source ions in sheath region (from Quartz region to substrate region). After the collision between target and ion, reflected ion collides next projectile ion or sputtered atoms. In ICP etching, because the main mechanism is sputtering, both SiO$_2$ and Si can be etched. Therefore, to obtain etching profiles, mask thickness and mask composition must be considered. Since we consider both SiO$_2$ etching and Si etching, it is possible to predict the thickness of SiO$_2$ for etching of ULSI.

  • PDF

ADHESION STUDIES OF MAGNETRON-SPUTTERED COPPER FILMS ON INCONEL SUBSTRATES

  • Lee, G.H.;Kwon, S.C.;Lee, S.Y.
    • Journal of the Korean institute of surface engineering
    • /
    • v.32 no.3
    • /
    • pp.410-415
    • /
    • 1999
  • The adhesion strength of sputtered copper films to Inconel substrates has been studied using the scratch test. The effects of substrate treatments before deposition such as chemical or ion bombardment etching were investigated by means of a mean critical load derived from a Weibull-like statistical analysis. It was found that the mean critical load was very weak unless the amorphous layer produced by mechanical polishing on the substrate surface was eliminated. Chemical etching in a nitric-hydrochloric acid bath was shown to have practically no effect on the enhancement of the adhesion. In contrast, the addition in this bath of nickel and copper sulphates allowed removal of the amorphous layer and an increase in the values of the mean critical load. However, it was observed that excessive chemical etching could cancel out the mean critical load enhancement. The results obtained in the case of ion bombardment etching pretreatments could be far higher than those obtained with chemical etching. Moreover, for a sufficiently long period of ion bombardment etching, the adhesion strength was so high that it was impossible to observe evidence of an adhesion failure.

  • PDF

Characterization of via etch by enhanced reactive ion etching

  • Bae, Y.G.;Park, C.S.
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.14 no.6
    • /
    • pp.236-243
    • /
    • 2004
  • The oxide etching process was characterized in a magnetically enhanced reactive ion etching (MERIE) reactor with a $CHF_3CF_4$ gas chemistry. A statistical experimental design plus one center point was used to characterize relationships between process factors and etch response. The etch response modeled are etch rate, etch selectivity to TiN and uniformity. Etching uniformity was improved with increasing $CF_4$ flow ratio, increasing source power, and increasing pressure depending on source power. Characterization of via etching in $CHF_3CF_4$ MERIE using neural networks was successfully executed giving to highly valuable information about etching mechanism and optimum etching condition. It was found that etching uniformity was closely related to surface polymerization, DC bias, TiN and uniformity.

Scanning System Method for Calculating Ion Flux in Plasma Etching Simulation (플라즈마 식각 시뮬레이션을 위한 스캔 방식의 이온 플럭스 계산 방법)

  • Shin, Sung-Sik;Yu, Dong-Hun;Gwun, Ou-Bong
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.50 no.10
    • /
    • pp.124-131
    • /
    • 2013
  • The most important thing in Plasma simulation is the etching process in which etch rate is calculated based on feature profile. Although there are various components to consider in calculating etch rate such as Ion Flux, Neutral, gas, and temperature, Addressing of this paper is limited to Ion Flux. This paper propose a scan method to compute Ion Flux faster for Plasma simulation. Also, this paper experiments and compares generally used Monte Carlo method and the proposed method based on gaussian and cosine distribution. Lastly, this paper proves that the proposed method can calculate accurate Ion Flux more efficiently than Monte Carlo method.

Fabrication of Hollow-type Silicon Microneedle Array Using Microfabrication Technology (반도체 미세공정 기술을 이용한 Hollow형 실리콘 미세바늘 어레이의 제작)

  • Kim, Seung-Kook;Chang, Jong-Hyeon;Kim, Byoung-Min;Yang, Sang-Sik;Hwang, In-Sik;Pak, Jung-Ho
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.56 no.12
    • /
    • pp.2221-2225
    • /
    • 2007
  • Hollow-type microneedle array can be used for painless, continuous and stable drug delivery through a human skin. The needles must be sharp and have sufficient length in order to penetrate the epidermis. An array of hollow-type silicon microneedles was fabricated by using deep reactive ion etching and HNA wet etching with two oxide masks. Isotropic etching was used to create tapered tips of the needles, and anisotropic etching of Bosch process was used to make the extended length and holes of microneedles. The microneedles were formed by three steps of isotropic, anisotropic, and isotropic etching in order. The holes were made by one anisotropic etching step. The fabricated microneedles have $170{\mu}m$ width, $40{\mu}m$ hole diameter and $230{\mu}m$ length.