• 제목/요약/키워드: Ion beam etching

검색결과 133건 처리시간 0.029초

Patterning of CVD Diamond Films For MEMS Application

  • Wang, Xiaodong;Yang, Yirong;Ren, Congxin;Mao, Minyao;Wang, Weiyuan
    • 한국진공학회지
    • /
    • 제7권s1호
    • /
    • pp.167-170
    • /
    • 1998
  • To apply diamond films in microelectromechanical systems(MEMS), it is necessary to develop the patterning technologies of diamond films in the micrometer scale. In this paper, three different kinds of technologies for patterning CVD diamond films carried out by us were demonstrated: selective growth by improved diamond nucleation in DC bias-enhanced microwave plasma chemical vapor deposition (MPCVD) system, selective growth of seeding using diamond-particle-mixed photoresist, and selective etching of oxygen ion beam using Al as the mask. It was show that high selectivity and precise patterns had been achieved, and all the processes were compatible with IC process.

  • PDF

플라즈마중합 (MMA-Sty-TMT) 박막의 레지스트 특성조사 (A study on the resist characteristics of plasma polymerized thin film of (MMA-Sty-TMT))

  • 박종관;박상현;박복기;정해덕;한상옥;이덕출
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1994년도 하계학술대회 논문집 C
    • /
    • pp.1268-1270
    • /
    • 1994
  • Fine lithographic technology in a submicron design regime is necessary for the fabrication of VLSI circuits. In such lithography, fine pattern delineation is performed by electron beam, ion beam and X-ray lithography instead of photolithography. Therefore, the new resist materials and development method have been required. So, we are investigating another positive E-beam resists which have high sensitivity and dry etching resistance, Plasma co-polymerized resist was prepared using an interelectrode gas-flow-type reacter. Methymethacrylate, tetramethyltin and styrene were chosen as the monomer to be used. The delineated pattern in the resist was developed with gas-flow-type reactor using an argon and 02 as etching gas. We studied about the effects of discharge power and mixing rate of the co-polymerized thin :film. The molecular structure of thin film was investigated by ESCA and IR, and then was discussed in relation to its quality as a resist.

  • PDF

Removal of Anodic Aluminum Oxide Barrier Layer on Silicon Substrate by Using Cl2 BCl3 Neutral Beam Etching

  • 김찬규;연제관;민경석;오종식;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.480-480
    • /
    • 2011
  • 양극산화(anodization)는 금속을 전기화학적으로 산화시켜 금속산화물로 만드는 기술로서 최근 다양한 크기의 나노 구조를 제조하는 기술로 각광받고 있으며, 이러한 기술에 의하여 얻어지는 anodic aluminum oxide(AAO)는 magnetic data storage, optoelectronic device, sensor에 적용될 수 있는 nano device 뿐만 아니라 nanostructure를 제조하기 위한 template 및 mask로써 최근 광범위 하게 연구되고 있다. 또한, AAO는 Al2O3의 단단한 구조를 가진 무기재료이므로 solid mask로써 다른 porous materials 보다 뛰어난 특성을 갖고 있다. 또한 electron-beam lithography 및 block co-polymer 에 의한 patterning 과 비교하여 매우 경제적이며, 재현성이 우수할 뿐만 아니라 대면적에서 나노 구조의 크기 및 형상제어가 비교적 쉽기 때문에 널리 사용되고 있다. 그러나, AAO 형성 시 생기게 되는 반구형 모양의 barrier layer는 물질(substance)과 기판과의 direct physical and electrical contact을 방해하기 때문에 해결해야 할 가장 큰 문제점 중 하나로 알려져 있다. 따라서 본 연구에서는 실리콘 기판위의 형성된 AAO의 barrier layer를 Cl/BCl3 gas mixture에서 Neutral Beam Etching (NBE)과 Ion Beam Etching (IBE) 로 각각 식각한 후 그 결과와 비교하였다. NBE와 IBE 모두 Cl2/BCl3 gas mixture에서 BCl3 gas의 첨가량이 60% 일 경우 etch rate이 가장 높게 나타났고, optical emission spectroscopy (OES)로 Cl2/BCl3 플라즈마 내의 Cl radical density와 X-ray photoelectron spectroscopy (XPS)로 AAO 표면 위를 관찰한 결과 휘발성 BOxCly의 형성이 AAO 식각에 크게 관여함을 확인 할 수 있었다. 또한, NBE와 IBE 실험한 다양한 Cl2/BCl3 gas mixture ratio 에서 AAO가 식각이 되지만, 이온빔의 경우 나노사이즈의 AAO pore의 charging에 의해 pore 아래쪽의 위치한 barrier layer를 어떤 식각조건에서도 제거하지 못하였다. 하지만, NBE에서는 BCl3-rich Cl2/BCl3 gas mixture인 식각조건에서 AAO pore에 휘발성 BOxCly를 형성하면서 barrier layer를 제거할 수 있었다.

  • PDF

Effect of Annealing under Antimony Ambient on Structural Recovery of Plasma-damaged InSb(100) Surface

  • 석철균;최민경;정진욱;박세훈;박용조;양인상;윤의준
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.203-203
    • /
    • 2014
  • Due to the electrical properties such as narrow bandgap and high carrier mobility, indium antimonide (InSb) has attracted a lot of attention recently. For the fabrication of electronic or photonic devices, an etching process is required. However, during etching process, enegetic ions can induce structural damages on the bombarded surface. Especially, InSb has a very weak binding energy between In atom and Sb stom, it can be easily damaged by impingement of ions. In the previous work, to evaluate the surface properties after Ar ion beam etching, the plasma-induced structural damage on the etched InSb(100) surface had been examined by resonant Raman spectroscopy. As a result, we demonstrated the relation between the enhanced transverse optical(TO) peak in the Raman spectrum and the ion-induced structral damage near the InSb surface. In this work, the annealing effect on the etched InSb(100) surface has investigated. Annealing process was performed at $450^{\circ}C$ for 10 minute under antimony ambient. As-etched InSb(100) surface had shown a strongly enhanced TO scattering intensity in the Raman spectrum. However, the annealing process with antimony flowing caused the intensity to recover due to the structural reordering and the reduction of antimony vacancies. It proves that the origin of enhanced TO scattering is Sb vacancies. Furthermore, it shows that etching-induced damage can be cured effectively by the following annealing process under Sb ambient.

  • PDF

알곤 이온빔 입사각에 따른 Polyethylene Naphthalate 필름 표면의 자가나노구조화 분석 (Effect of Argon Ion Beam Incident Angle on Self-Organized Nanostructure on the Surface of Polyethylene Naphthalate Film)

  • 조경환;양준영;변은연;박영배;정성훈;김도근;이승훈
    • 한국표면공학회지
    • /
    • 제53권3호
    • /
    • pp.116-123
    • /
    • 2020
  • Ion beam irradiation induces self-organization of nanostructure on the surface of polymer film. We show that the incident angle of Ar ions on polyethylene naphthalate(PEN) film changes self-organized nanostructure. PEN film was irradiated by argon ion beams with the ion incident angle of 0°, 30°, 45°, 60°, and 80°. Nanostructure was altered from dimple to ripple structure as the angle increases. The ripple structure changed to pillar structure after 60°due to that the shallow incident angle increased the ion energy transfer per depth up to 50 eV/Å, which value could induce excessive surface heating and oligomer formation reacting as a physical mask for anisotropic etching. And quantitative analysis of the nanostructures was adapted by using ABC model and fractal dimension theory.

Fabrication of a Graphene Nanoribbon with Electron Beam Lithography Using a XR-1541/PMMA Lift-Off Process

  • Jeon, Sang-Chul;Kim, Young-Su;Lee, Dong-Kyu
    • Transactions on Electrical and Electronic Materials
    • /
    • 제11권4호
    • /
    • pp.190-193
    • /
    • 2010
  • This report covers an effective fabrication method of graphene nanoribbon for top-gated field effect transistors (FETs) utilizing electron beam lithography with a bi-layer resists (XR-1541/poly methtyl methacrylate) process. To improve the variation of the gating properties of FETs, the residues of an e beam resist on the graphene channel are successfully taken off through the combination of reactive ion etching and a lift-off process for the XR-1541 bi-layer. In order to identify the presence of graphene structures, atomic force microscopy measurement and Raman spectrum analysis are performed. We believe that the lift-off process with bi-layer resists could be a good solution to increase gate dielectric properties toward the high quality of graphene FETs.

접속이온빔 리소그라피를 이용한 고굴절 비정질 박막 투과 격자 형성 (Transmission Grating Formation in High Refractive-index Amorphous Thin Films Using Focused-Ion-Beam Lithography)

  • 신경;김진우;박정일;이현용;이영종;정홍배
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제50권1호
    • /
    • pp.6-10
    • /
    • 2001
  • In this study, we investigated the optical properties of sub-wavelength a-Si thin film transmission gratings, especially the polarization effect, the phase difference and the birefringence by using linearly polarized He-Ne laser beam (632.8nm). The a-Si transmission grating of the thickness $of < 0.1 \mum$ with four-type period($\Lambda = 0.4 \mum and 0.6 \mum$ for sub-wavelength and $\Lambda = 1.0 \mum and 1.4 \mum$ for above-wavelength) on quartz substrates have been fabricated using 50 KeV Ga+ Focused-Ion-Beam(FIB) Milling and $CF_4$Reactive-Ion-Etching(RIE) method. Finally, we obtained the trating array of a-Si thin film with a period $0.4 \mum, 0.6 \mum, 1.0 \mum, 1.4 \mum$ which have nearly equal finger spacing and width, sucessfully. Especially, for gratings with $\Lambda = 0.6 \mum(linewidth=0.25 \mum, linespace=0.35\mum), the \etamax at \theta_в=17.0^{\circ}$ is estimated to be 96%. As the results, we believe that the sub-wavelength grating arrayed a-Si thin film has the applicability as the optical device and components.

  • PDF

산소 플라즈마 처리후의 이차전자방출계수(γ)를 이용한 MgO 보호막의 일함수(φW) 변화 (Work Function Changes on MgO Protective Layer after O2plasma Treatment from Ion-induced Secondary Electron Emission Coefficient)

  • 정재천;유세기;조재원
    • 한국전기전자재료학회논문지
    • /
    • 제18권3호
    • /
    • pp.259-263
    • /
    • 2005
  • The changes in secondary electron emission coefficient(${\gamma}$) and work function($\Phi$$_{\omega}$) have been studied on the surface of MgO protective layer aster plasma(Ar. $O_2$) treatment using ${\gamma}$-focused ion beam (${\gamma}$-FIB) system. The values of ${\gamma}$ varied as follows: $O_2$-treated MgO > Ar-treated MgO > Non-treated MgO, and the work functions varied in the reverse order. The result indicates that both the physical etching and the chemical reaction of $O_2$-plasma removed the contaminating materials from the surface of MgO.

Single-step 전자빔 묘화 장치를 이용한 Focusing Grating Coupler 제작 연구 (Fabrication technology of the focusing grating coupler using single-step electron beam lithography)

  • 김태엽;김약연;손영준;한기평;백문철;김해성;신동훈;이진구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 하계학술대회 논문집 Vol.3 No.2
    • /
    • pp.976-979
    • /
    • 2002
  • A focusing grating coupler (FGC) was not fabricated by the 'Continuous Path Control' writing strategy but by an electron-beam lithography system of more general exposure mode, which matches not only the address grid with the grating period but also an integer multiple of the address grid resolution (5 nm), To more simplify the fabrication, we are able to reduce a process step without large decrease of pattern quality by excluding a conducting material or layer such as metal (Al, Cr, Au), which are deposited on top or bottom of an e-beam resist to prevent charge build-up during e-beam exposure. A grating pitch period and an aperture feature size of the FGC designed and fabricated by e-beam lithography and reactive ion etching were ranged over 384.3 nm to 448.2 nm, and $0.5{\times}0.5mm^2$ area, respectively, This fabrication method presented will reduce processing time and improve the grating quality by means of a consideration of the address grid resolpution, grating direction, pitch size and shapes when exposing. Here our investigations concentrate on the design and efficient fabrication results of the FGC for coupling from slab waveguide to a spot in free space.

  • PDF

Fabrication Technology of the Focusing Grating Coupler using Single-step Electron Beam Lithography

  • Kim, Tae-Youb;Kim, Yark-Yeon;Han, Gee-Pyeong;Paek, Mun-Cheol;Kim, Hae-Sung;Lim, Byeong-Ok;Kim, Sung-Chan;Shin, Dong-Hoon;Rhee, Jin-Koo
    • Transactions on Electrical and Electronic Materials
    • /
    • 제3권1호
    • /
    • pp.30-37
    • /
    • 2002
  • A focusing grating coupler (FGC) was not fabricated by the 'Continuous Path Control'writing strategy but by an electron-beam lithography system of more general exposure mode, which matches not only the address grid with the grating period but also an integer multiple of the address grid resolution (5 nm). To more simplify the fabrication, we are able to reduce a process step without large decrease of pattern quality by excluding a conducting material or layer such as metal (Al, Cr, Au), which are deposited on top or bottom of an e-beam resist to prevent charge build-up during e-beam exposure. A grating pitch period and an aperture feature size of the FGC designed and fabricated by e-beam lithography and reactive ion etching were ranged over 384.3 nm to 448.2 nm, and 0.5 $\times$ 0.5 mm$^2$area, respectively. This fabrication method presented will reduce processing time and improve the grating quality by means of a consideration of the address grid resolution, grating direction, pitch size and shapes when exposing. Here our investigations concentrate on the design and efficient fabrication results of the FGC for coupling from slab waveguide to a spot in free space.