• Title/Summary/Keyword: Interlayer Dielectric(ILD)

Search Result 19, Processing Time 0.024 seconds

Dielectric Characteristics due to the nano-pores of SiOCH Thin Flm (기공형성에 의한 SiOCH 박막의 유전 특성)

  • Kim, Jong-Wook;Park, In-Chul;Kim, Hong-Bae
    • Journal of the Semiconductor & Display Technology
    • /
    • v.8 no.3
    • /
    • pp.19-23
    • /
    • 2009
  • We have studied dielectric characteristics of low-k interlayer dielectric materials was fabricated by plasma enhanced chemical vapor deposition (PECVD). BTMSM precursor was introduced with the flow rates from 24 sccm to 32 sccm by 2 sccm step in the constant flow rate of 60 sccm $O_2$. Then, SiOCH thin film deposited at room temperature was annealed at temperature of $400^{\circ}C$ and $500^{\circ}C$ for 30 minutes in vacuum. The vibrational groups of SiOCH thin films were analyzed by FT/IR absorption lines, and the dielectric constant of the low-k SiOCH thin films were obtained by measuring C-V characteristic curves. With the result that FTIR analysis, as BTMSM flow rate increase, relative carbon content of SiOCH thin film increased from 29.5% to 32.2%, and increased by 32.8% in 26 sccm specimen after $500^{\circ}C$ annealing. Dielectric constant was lowest by 2.32 in 26 sccm specimen, and decreased more by 2.05 after $500^{\circ}C$ annealing. Also, leakage current is lowest by $8.7{\times}10^{-9}A/cm^2$ in this specimen. In the result, shift phenomenon of chemical bond appeared in SiOCH thin film that BTMSM flow rate is deposited by 26 sccms, and relative carbon content was highest in this specimen and dielectric constant also was lowest value

  • PDF

Study on the Abrasive Capsulation Pad in Interlayer Dielectric Chemical Mechanical Polishing (층간절연막 화학기계연마에서 입자코팅패드에 관한 연구)

  • Kim, Ho-Yun;Park, Jae-Hong;Jeong, Hae-Do;Seo, Hyeon-Deok;Nam, Cheol-U;Lee, Sang-Ik
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.18 no.11
    • /
    • pp.168-173
    • /
    • 2001
  • The chemical mechanical polishing (CMP) is generally consisted of pad, slurry including abrasives and so on. However, there are some problems in a general CMP: defects, a high Cost of Consumable (CoC), an environmental problem. The slurry including abrasives especially gives rise to not only increase a CoC, but also prohibition from achieving an eco-process. This paper introduces an abrasive capsulation pad to achieve an eco-process decreasing abrasives used is CMP. The binder wth a water a water swelling and a water soluble characteristic is used for an auto-conditioning, and the $CeO_2$abrasive is selected for an abrasive capsulation pad. Comparing with a conventional CMP, an abrasive capsulation pad appears good characteristics in ILD CMP and is able to achieve an eco-process decreasing wasted slurry.

  • PDF

Effect of gas composition on the characteristics of a-C:F thin films for use as low dielectric constant ILD (가스 조성이 저유전상수 a-C:F 층간절연막의 특성에 미치는 영향)

  • 박정원;양성훈;이석형;손세일;오경희;박종완
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.4
    • /
    • pp.368-373
    • /
    • 1998
  • As device dimensions approach submicrometer size in ULSI, the demand for interlayer dielectric materials with very low dielectric constant is increased to solve problems of RC delay caused by increase in parasitic resistance and capacitance in multilevel interconnectins. Fluorinated amorphous carbon in one of the promising materials in ULSI for the interlayer dielectric films with low dielectric constant. However, poor thermal stability and adhesion with Si substrates have inhibited its use. Recently, amorphous hydrogenated carbon (a-C:H) film as a buffer layer between the Si substrate and a-C:F has been introduced because it improves the adhesion with Si substrate. In this study, therfore, a-C:F/a-C:H films were deposited on p-type Si(100) by ECRCVD from $C_2F_6, CH_4$and $H_2$gas source and investigated the effect of forward power and composition on the thickness, chemical bonding state, dielectric constant, surface morphology and roughness of a-C:F films as an interlayer dielectric for ULSI. SEM, FT-IR, XPS, C-V meter and AFM were used for determination of each properties. The dielectric constant in the a-C:F/a-C:H films were found to decrease with increasing fluorine content. However, the dielectric constant increased after furnace annealing in $N_2$atomosphere at $400^{\circ}C$ for 1hour due to decreasing of flurorine content. However, the dielectric constant increased after furnace annealing in $N_2$atmosphere at $400^{\circ}C$ for 1hour due to decreasing of fluorine concentration.

  • PDF

Dielectric Characteristics through 2D-correlation Analysis of SiOCH Thin Film deposited by BTMSM/O2 High Flow Rates (BTMSM/O2 고유량으로 증착된 SiOCH 박막의 2차원 상관관계 분석을 통한 유전특성 연구)

  • Kim, Min-Seok;Hwang, Chang-Su;Kim, Hong-Bae
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.6
    • /
    • pp.544-551
    • /
    • 2008
  • We have studied the dielectric characteristics of low-k interlayer dielectric materials fabricated by PECVD for various precursor's flow rates. BTMSM precursor was introduced with the flow rates from 42 sccm to 60 sccm by 2 sccm step in the constant flow rate of 60 sccm $O_2$. The absorption intensities of Si-O-$CH_x$ bonding group and Si-$CH_x$ bonding group changed synchronously for the variation of precursor flow rate, but the intensity of Si-O-Si(C) responded asynchronously with the $CH_x$ combined bonds. The heat treatment reduced the FTIR absorption intensity of Si-O-$CH_x$ bonding group and Si-$CH_x$ bonding group but increased the intensity of Si-O-Si(C). The nanopore and free space formed by the increasement of caged link mode and cross link mode of Si-O-Si(C) group implied the origin of low-k SiOCH films.

Effects of Friction Energy on Polishing Results in CMP Process (CMP 공정에서 마찰에너지가 연마결과에 미치는 영향)

  • Lee, Hyun-Seop;Park, Boum-Young;Kim, Goo-Youn;Kim, Hyoung-Jae;Seo, Heon-Deok;Jeong, Hae-Do
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.28 no.11
    • /
    • pp.1807-1812
    • /
    • 2004
  • The application of chemical mechanical polishing(CMP) has a long history. Recently, CMP has been used in the planarization of the interlayer dielectric(ILD) and metal used to form the multilevel interconnections between each layers. Therefore, much research has been conducted to understand the basic mechanism of the CMP process. CMP performed by the down force and the relative speed between pad and wafer with slurry is typical tribo-system. In general, studies have indicated that removal rate is relative to energy. Accordingly, in this study, CMP results will be analyzed by a viewpoint of the friction energy using friction force measurement. The results show that energy would not constant in the same removal rate conditions

A Study on Frictional Characteristics and Polishing Result of SiO2 Slurry in CMP (CMP시 SiO2 슬러리의 마찰 특성과 연마결과에 관한 연구)

  • Lee Hyunseop;Park Boumyoung;Seo Heondeok;Jung Jaewoo;Jeong Sukhoon;Jeong Haedo
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.29 no.7 s.238
    • /
    • pp.983-989
    • /
    • 2005
  • The effects of mechanical parameters on the characteristics of chemical mechanical polishing(CMP) can be directly evaluated by friction force. The piezoelectric quartz sensor for friction force measurement was installed, and friction force could be detected during CMP process. Furthermore, friction energy can be calculated by multiplying relative velocity by integration of the friction force throughout the polishing time. $SiO_2$ slurry for interlayer dielectric(ILD) CMP was used in this experiment to consider the relation of frictional characteristics and polishing results. From this experiment, it is proven that the friction energy is an essential factor of removal rate. Also, the friction force is related to removal amount per unit length(dH/ds) and friction energy has corelation to the removal rate(dH/dt) and process temporature. Moreover, within wafer non-unifornity(WIWNU) is related to coefficient of friction because of the mechanical moment equilibrium. Therefore, the prediction of polishing result would be possible by measuring friction force.

The Study of Metal CMP Using Abrasive Embedded Pad (고정입자 패드를 이용한 텅스텐 CMP에 관한 연구)

  • Park, Jae-Hong;Kim, Ho-Yun;Jeong, Hae-Do
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.18 no.12
    • /
    • pp.192-199
    • /
    • 2001
  • Chemical mechanical planarization (CMP) has emerged as the planarization technique of choice in both front-end and back-end integrated circuit manufacturing. Conventional CMP process utilize a polyurethane polishing pad and liquid chemical slurry containing abrasive particles. There hale been serious problems in CMP in terms of repeatability and deflects in patterned wafers. Especial1y, dishing and erosion defects increase the resistance because they decrease the interconnection section area, and ultimately reduce the lifetime of the semiconductor. Methods to reduce dishing & erosion have recently been interface hardness of the pad, optimization of the pattern structure as dummy patterns. Dishing & erosion are initially generated an uneven pressure distribution in the materials. These defects are accelerated by free abrasives and chemical etching. Therefore, it is known that dishing & erosion can be reduced by minimizing the abrasive concentration. Minimizing the abrasive concentration by using CeO$_2$is the best solution for reducing dishing & erosion and for removal rate. This paper introduce dishing & erosion generating mechanism and a method fur developing a semi-rigid abrasive pad to minimize dishing & erosion during CMP.

  • PDF

Effect of Abrasive Particles on Frictional Force and Abrasion in Chemical Mechanical Polishing(CMP) (CMP 연마입자의 마찰력과 연마율에 관한 영향)

  • Kim, Goo-Youn;Kim, Hyoung-Jae;Park, Boum-Young;Lee, Hyun-Seop;Park, Ki-Hyun;Jeong, Hae-Do
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.10
    • /
    • pp.1049-1055
    • /
    • 2004
  • Chemical Mechanical Polishing (CMP) is referred to as a three body tribological system, because it includes two solids in relative motion and the CMP slurry. On the assumption that the abrasives between the pad and the wafer could be a major reason not only for the friction force but also for material removal during polishing, the friction force generated during CMP process was investigated with the change of abrasive size and concentration of CMP slurry. The threshold point of average coefficient of friction (COF) with increase in abrasives concentration during interlayer dielectric (ILD) CMP was found experimentally and verified mathematically based on contact mechanics. The predictable models, Mode I (wafer is in contact with abrasives and pad) and Mode II (wafer is in contact with abrasives only), were proposed and used to explain the threshold point. The average COF value increased in the low abrasives concentration region which might be explained by Mode I. In contrast the average COF value decreased at high abrasives concentration which might be regarded to as Mode II. The threshold point observed seemed to be due to the transition from Mode I to Mode II. The tendency of threshold point with the variation of abrasive size was studied. The increase of particle radius could cause contact status to reach transition area faster. The correlation between COF and material removal rate was also investigated from the tribological and energetic point of view. Due to the energy loss by vibration of polishing equipment, COF value is not proportional to the material removal rate in this experiment.

Correlation between Ceria abrasive accumulation on pad surface and Material Removal in Oxide CMP (산화막 CMP에서 세리아 입자의 패드 표면누적과 재료제거 관계)

  • Kim, Young-Jin;Park, Boum-Young;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.118-118
    • /
    • 2008
  • The oxide CMP has been applied to interlayer dielectric(ILD) and shallow trench isolation (STI) in chip fabrication. Recently the slurry used in oxide CMP being changed from silica slurry to ceria (cerium dioxide) slurry particularly in STI CMP, because the material selectivity of ceria slurry is better than material selectivity of silica slurry. Moreover, the ceria slurry has good a planarization efficiency, compared with silica slurry. However ceria abrasives make a material removal rate too high at the region of wafer center. Then we focuses on why profile of material removal rate is convex. The material removal rate sharply increased to 3216 $\AA$/min by $4^{th}$ run without conditioning. After $4^{th}$ run, material removal rate converged. Furthermore, profile became more convex during 12 run. And average material removal rate decreased when conditioning process is added to end of CMP process. This is due to polishing mechanism of ceria. Then the ceria abrasive remains at the pad, in particular remains more at wafer center contacted region of pad. The field emission scanning electron microscopy (FE-SEM) images showed that the pad sample in the wafer center region has a more ceria abrasive than in wafer outer region. The energy dispersive X-ray spectrometer (EDX) verified the result that ceria abrasive is deposited and more at the region of wafer center. Therefore, this result may be expected as ceria abrasives on pad surface causing the convex profile of material removal rate.

  • PDF