• Title/Summary/Keyword: ICP-RIE

Search Result 58, Processing Time 0.025 seconds

Fabrication of Copper Electrode Array and Test of Electrochemical Discharge Machining for Micro Machining of Glass (유리의 미세 가공을 위한 구리 전극군의 제작과 전기 화학 방전 가공 시험)

  • 정주명;심우영;정옥찬;양상식
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.53 no.9
    • /
    • pp.488-493
    • /
    • 2004
  • In this paper, we present the fabrication of copper electrode array and test of electrochemical discharge machining(ECDM) for glass machining. An array of 72 Cu electrodes is used to machine Borofloat33 glass. The height and diameter of a Cu electrode are 400 $\mu\textrm{m}$ and 100 $\mu\textrm{m}$ respectively. It is fabricated by ICP-RIE, Au-Au thermo-compression bonding, and copper electroplating. Borofloat33 glass is machined by the fabricated copper electrode array in 60 seconds at 55 V. The surface roughness of the machined glass is measured and the machined glass is anodically bonded with silicon.

Fabrication and Characteristics of InP-Waveguide (InP 광도파로의 식각 특성)

  • 박순룡;김진우;오범환;우덕하;김선호
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.07a
    • /
    • pp.824-827
    • /
    • 2000
  • Fabrication of InP-based photonic devices by dry etch Process is important for clear formation of waveguide mesa structure. We have developed more efficient etch process of the inductively coupled plasma (ICP) with low damages and less polymeric deposits for the InP-based photonic devices than the reactive ion etching (RIE) technique. We report the tendency of etch rate variation by the process parameters of the RF power, pressure, gas flow rate, and the gas mixing ratio. The surface roughness of InP-based waveguide structure was more improved by the light wet etching in the mixed solution of H$_2$SO$_4$:H$_2$O (1:1)

  • PDF

고효율 태양전지를 위한 ICP-RIE기반 결정질 실리콘 표면 Texturing 공정연구

  • Lee, Myeong-Bok;Lee, Byeong-Chan;Park, Gwang-Muk;Jeong, Ji-Hui;Yun, Gyeong-Sik
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.315-315
    • /
    • 2010
  • 결정질 실리콘을 포함하는 태양전지의 광전효율은 표면에 입사되는 태양광의 반사를 제외하면 흡수된 광자에 의해 생성되는 전자-정공쌍의 상대적인 비율인 내부양자효율에 의존하게 된다. 실제 생성된 전자-정공쌍은 기판재료의 결정상태와 전기광학적 물성 등에 의해 일부가 재결합되어 2차적인 광자의 생성이나 열로서 작용하고 최종적으로 전자와 정공이 완전히 분리되고 전극에 포집되어 실질적인 유효전류로 작용한다. 16% 이상의 고효율 결정질 실리콘 태양전지양산이 요구되고 있는 현실에서 광전효율 개선 위해 가장 우선적으로 고려되어야 할 변수는 입력 태양광스펙트럼에 대한 결정질 실리콘 표면반사율을 최소화하여 광흡수를 극대화하는 것이라 할 수 있다. 이의 해결을 위하여 대기와 실리콘표면 사이의 굴절률차이가 크면 클수록 태양광스펙트럼에 대한 결정질 실리콘의 광반사는 증가하기 때문에 상대적으로 낮은 굴절률의 $SiO_x$$SiN_x$와 같은 반사방지막을 광입력 실리콘표면에 증착하여 광반사율 저감공정을 적용하고 있다. 이와 더불어 결정질 실리콘표면을 화학적으로 혹은 플라즈마이온으로 50-100nm 직경의 바늘형 피라미드형상으로 texturing 함으로 광자들의 다중반사 등에 기인하는 광흡수율의 증가를 기대할 수 있기 때문에 태양전지효율 개선에 긍정적인 영향을 미치는 것으로 이해된다. 본 실험에서도 고효율 다결정 실리콘 태양전지 양산공정에 적용 가능한 ICP-RIE기반 결정질 실리콘표면에 대한 texturing 공정기술을 연구하였다. Double Langmuir 플라즈마 진단시스템(DLP2000)을 적용하여 사용한 $SF_6$$O_2$ 개스유량과 챔버압력, 플라즈마 파워에 따른 이온밀도, 전자온도, 포화이온전류밀도, 플라즈마포텐셜의 공간분포를 모니터링하였고 texturing이 완료된 시료에 대하여 A1.5G 표준태양광스펙트럼의 300-1100nm 파장대역에서 반사율을 측정하여 그 변화를 관찰하였다. 본 연구에서 얻어진 결과를 간략히 정리하면 Si texturing에 가장 적합한 플라즈마파워는 100W, $SF_6/O_2$ 혼합비는 18:22, 챔버압력은 30mtorr 등이고 이에 상응하는 플라즈마의 이온밀도는 $2{\sim}3{\times}10^8\;ions/cm^3$, 전자온도는 14~15eV, 포화전류밀도는 $0.014{\sim}0.015mA/cm^2$, 플라즈마포텐셜은 38~39V 범위 등이었다. 현재까지 얻어진 최소 평균반사율은 14.2% 였으며 최적의 texturing패턴 플라즈마공정 조건은 이온에 의한 Si표면원자들의 스퍼터링과 화학반응에 의한 증착이 교차하는 플라즈마 에너지 및 밀도 상태인 것으로 해석된다.

  • PDF

Microfabrication of Submicron-size Hole on the Silicon Substrate using ICP etching

  • Lee, J.W.;Kim, J.W.;Jung, M.Y.;Kim, D.W.;Park, S.S.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.79-79
    • /
    • 1999
  • The varous techniques for fabrication of si or metal tip as a field emission electron source have been reported due to great potential capabilities of flat panel display application. In this report, 240nm thermal oxide was initially grown at the p-type (100) (5-25 ohm-cm) 4 inch Si wafer and 310nm Si3N4 thin layer was deposited using low pressure chemical vapor deposition technique(LPCVD). The 2 micron size dot array was photolithographically patterned. The KOH anisotropic etching of the silicon substrate was utilized to provide V-groove formation. After formation of the V-groove shape, dry oxidation at 100$0^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have a etch-mask for dry etching. The thicknesses of the grown oxides on the (111) surface and on the (100) etch stop surface were found to be ~330nm and ~90nm, respectively. The reactive ion etching by 100 watt, 9 mtorr, 40 sccm Cl2 feed gas using inductively coupled plasma (ICP) system was performed in order to etch ~90nm SiO layer on the bottom of the etch stop and to etch the Si layer on the bottom. The 300 watt RF power was connected to the substrate in order to supply ~(-500)eV. The negative ion energy would enhance the directional anisotropic etching of the Cl2 RIE. After etching, remaining thickness of the oxide on the (111) was measured to be ~130nm by scanning electron microscopy.

  • PDF

Leidenfrost Points Tuned via Surface Coating and Structures

  • Jeon, Deok-Jin;Lee, Jun-Young;Yeo, Jong-Souk
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2015.08a
    • /
    • pp.126.1-126.1
    • /
    • 2015
  • A quantitative relationship between Leidenfrost point and surface characteristics such as surface material and roughness is investigated. Based on the relationship, we have fabricated the surfaces with their Leidenfrost points (LFP) tuned by controlling surface coating and structures. As discovered by Leidenfrost, liquids placed on a hot plate levitate on the gas phase-air gap formed by the vaporization of liquids. This phenomenon is called 'Leidenfrost effect'. A change of LFP has attracted many researchers for several years but the ability to tune LFP is still a remaining issue. Many of previous work has progressed for various conditions so the systematic approach and analysis are needed to clearly correlate the LFP and the surface conditions. In this report, we investigate a relation of surface energy and LFP using various coating materials such as Octadecyltrichlorosilane (OTS) and 1H, 1H, 2H, 2H-Perfluorooctyltrichlorosilane (FOTS). Also, we analyze how surface roughness affects LFP via surface micro structuring with ICP-RIE fabrication process. The improved understanding can have potential applications such as the control of liquid droplet behavior at elevated temperatures for efficient cooling system.

  • PDF

The Etching Characteristics of (Ba, Sr) $TiO_3$Thin Films Using Magnetically Enhanced Inductively Coupled Plasma (자장강화된 유도결합 플라즈마를 이용한 (Ba, Sr) $TiO_3$박막의 식각 특성 연구)

  • 민병준;김창일
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.12
    • /
    • pp.996-1002
    • /
    • 2000
  • Ferroelectric (Ba, Sr) TiO$_3$(BST) thin films have attracted much attention for use in new capacitor materials of dynamic random access memories (DRAMs). In order to apply BST to the DRAMs, the etching process for BST thin film with high etch rate and vertical profile must be developed. However, the former studies have the problem of low etch rate. In this study, in order to increase the etch rate, BST thin films were etched with a magnetically enhanced inductively coupled plasma(MEICP) that have much higher plasma density than RIE (reactive ion etching) and ICP (inductively coupled plasma). Experiment was done by varying the etching parameters such as CF$_4$/(CF$_4$+Ar) gas mixing ratio, rf power, dc bias voltage and chamber pressure. The maximum etch rate of the BST films was 170nm/min under CF$_4$/CF$_4$+Ar) of 0.1, 600 W/-350 V and 5 mTorr. The selectivities of BST to Pt and PR were 0.6 and 0.7, respectively. Chemical reaction and residue of the etched surface were investigated with X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS).

  • PDF

Plasma Textured Glass Surface Morphologies for Amorphous Silicon Thin Film Solar Cells-A review

  • Hussain, Shahzada Qamar;Balaji, Nagarajan;Kim, Sunbo;Raja, ayapal;Ahn, Shihyun;Park, Hyeongsik;Le, Anh Huy Tuan;Kang, Junyoung;Yi, Junsin;Razaq, Aamir
    • Transactions on Electrical and Electronic Materials
    • /
    • v.17 no.2
    • /
    • pp.98-103
    • /
    • 2016
  • The surface morphology of the front transparent conductive oxide (TCO) films plays a vital role in amorphous silicon thin film solar cells (a-Si TFSCs) due to their high transparency, conductivity and excellent light scattering properties. Recently, plasma textured glass surface morphologies received much attention for light trapping in a-Si TFSCs. We report various plasma textured glass surface morphologies for the high efficiency of a-Si TFSCs. Plasma textured glass surface morphologies showed high rms roughness, haze ratio with micro- and nano size surface features and are proposed for future high efficiency of a-Si TFSCs.

Improvement of Etch Rate and Profile by SF6, C4F8, O2 Gas Modulation (SF6, C4F8, O2 가스 변화에 따른 실리콘 식각율과 식각 형태 개선)

  • Kwon, Soon-Il;Yang, Kea-Joon;Song, Woo-Chang;Lim, Dong-Gun
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.4
    • /
    • pp.305-310
    • /
    • 2008
  • Deep trench etching of silicon was investigated as a function of RF source power, DC bias voltage, $C_4F_8$ gas flow rate, and $O_2$ gas addition. On increasing the RF source power from 300 W to 700 W, the etch rate was increased from $3.52{\mu}m/min$ to $7.07{\mu}m/min$. The addition of $O_2$ gas improved the etch rate and the selectivity. The highest etch rate is achieved at the $O_2$ gas addition of 12 %, The selectivity to PR was 65.75 with $O_2$ gas addition of 24 %. At DC bias voltage of -40 V and $C_4F_8$ gas flow rate of 30 seem, We were able to achieve etch rate as high as $5.25{\mu}m/min$ with good etch profile.

A Study on the Fabrication of the Lateral Accelerometer using SOG(Silicon On Glass) Process (SOG(Silicon On Glass)공정을 이용한 수평형 미소가속도계의 제작에 관한 연구)

  • Choi, Bum-Kyoo;Chang, Tae-Ha;Lee, Chang-Kil;Jung, Kyu-Dong;Kim, Jong-Pal
    • Journal of Sensor Science and Technology
    • /
    • v.13 no.6
    • /
    • pp.430-435
    • /
    • 2004
  • The resolution of the accelerometer, fabricated with MEMS technology is mainly affected by mechanical and electrical noise. To reduce mechanical noise, we have to increase mass of the structure part and quality factor related with the degree of vacuum packaging. On the other hand, to increase mass of the structure part, the thickness of the structure must be increased and ICP-RIE is used to fabricate the high aspect ratio structure. At this time, footing effect make the sensitivity of the accelerometer decreasing. This paper presents a hybrid SOG(Silicon On Glass) Process to fabricate a lateral silicon accelerometer with differential capacitance sensing scheme which has been designed and simulated. Using hybrid SOG Process, we could make it a real to increase the structural thickness and to prevent the footing effect by deposition of metal layer at the bottom of the structure. Moreover, we bonded glass wafer to structure wafer anodically, so we could realize the vacuum packaging at wafer level. Through this way, we could have an idea of controlling of quality factor.

Microfabrication of submicron-size hole for potential held emission and near field optical sensor applications (전계방출 및 근접 광센서 응용을 위한 서브 마이크론 aperture의 제작)

  • Lee, J.W.;Park, S.S.;Kim, J.W.;M.Y. Jung;Kim, D.W.
    • Journal of the Korean Vacuum Society
    • /
    • v.9 no.2
    • /
    • pp.99-101
    • /
    • 2000
  • The fabrication of the submicron size hole has been interesting due to the potential application of the near field optical sensor or liquid metal ion source. The 2 micron size dot array was photolithographically patterned. After formation of the V-groove shape by anisotropic KOH etching, dry oxidation at $1000^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have an etch-mask for dry etching. The reactive ion etching by the inductively coupled plasma (ICP) system was performed in order to etch ~90 nm $SiO_2$ layer at the bottom of the V-groove and to etch the Si at the bottom. The negative ion energy would enhance the anisotropic etching by the $Cl_2$ gas. After etching, the remaining thickness of the oxide on the Si(111) surface was measured to be ~130 nm by scanning electron microscopy. The etched Si aperture can be used for NSOM sensor.

  • PDF