• 제목/요약/키워드: ICP etching

검색결과 297건 처리시간 0.026초

BCl3 평판형 유도결합 플라즈마를 이용한 GaAs 건식식각 (Dry Etching of GaAs in a Planar Inductively Coupled BCl3 Plasma)

  • 임완태;백인규;정필구;이제원;조관식;이주인;조국산
    • 한국재료학회지
    • /
    • 제13권4호
    • /
    • pp.266-270
    • /
    • 2003
  • We studied BCl$_3$ dry etching of GaAs in a planar inductively coupled plasma system. The investigated process parameters were planar ICP source power, chamber pressure, RIE chuck power and gas flow rate. The ICP source power was varied from 0 to 500 W. Chamber pressure, RIE chuck power and gas flow rate were controlled from 5 to 15 mTorr, 0 to 150 W and 10 to 40 sccm, respectively. We found that a process condition at 20 sccm $BCl_3$ 300 W ICP, 100 W RIE and 7.5 mTorr chamber pressure gave an excellent etch result. The etched GaAs feature depicted extremely smooth surface (RMS roughness < 1 nm), vertical sidewall, relatively fast etch rate (> $3000\AA$/min) and good selectivity to a photoresist (> 3 : 1). XPS study indicated a very clean surface of the material after dry etching of GaAs. We also noticed that our planar ICP source was successfully ignited both with and without RIE chuck power, which was generally not the case with a typical cylindrical ICP source, where assistance of RIE chuck power was required for turning on a plasma and maintaining it. It demonstrated that the planar ICP source could be a very versatile tool for advanced dry etching of damage-sensitive compound semiconductors.

대면적 플라즈마 소스에서의 ITO 식각균일도 향상 (Improvement of ITO etching uniformity in a large area plasma source)

  • 김진우;조수범;김봉주;박세근;오범환;이종근
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집 Vol.14 No.1
    • /
    • pp.145-148
    • /
    • 2001
  • A large area plasma source using parallel $2{\times}2$ ICP antennas showed improved etching uniformity by the E-ICP operation. ITO etching process with $CH_4$ gas chemistry is optimized with the DOE (Design of Experiment) based on Taguchi method. Various methane ratios in methane and argon mixture are compared to confirm the effect of polymerization. The analysis shows that the effect of bias power is the largeset. We obtained higher ITO etching rate and better uniformity on $350{\times}300mm$ substrate at the 50Hz magnetization frequency of the E-ICP operation technique,

  • PDF

ICP Poly Etcher를 이용한 Poly-Si Dry Etch시 Gas Flow에 따른 Etching 특성 변화 연구 (Study of Characteristics Variation of Etching according to Gas Flow in Poly-Si Dry Etching using ICP Poly Etcher)

  • 김동일;한승수
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2015년도 추계학술대회 논문집
    • /
    • pp.180-181
    • /
    • 2015
  • 본 논문에서는 ICP Poly Etcher를 이용한 Dry Etch에서 몇가지 공정조건의 변화에 따른 Etching 특성 변화를 연구하였다. 주요 가스유량들이 증가 할 때, Poly-Si 의 Etch rate는 증가 하였으며 Uniformity는 나빠진 것을 확인 할 수 있었고 다른 특성들은 특별한 변화를 보이지 않았다. 주요 Gas인 HBr의 증가는 PR(Photo Resist)와 Uniformity에 영향을 주었다. 이 논문을 통해 HBr의 유량이 Poly-Si Etching에 영향을 주는 결과를 알아 볼 수 있었고 HBr 가스의 유량 증가가 Polymer의 생성에 영향을 줘 Selectivity와 Uniformity를 증가 시킨다는 것도 확인 해 볼 수 있었다.

  • PDF

대면적 플라즈마 소스에서의 ITO 식각균일도 향상 (Improvement of 170 etching uniformity in a large area plasma source)

  • 김진우;조수범;김봉주;박세근;오범환
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집
    • /
    • pp.145-148
    • /
    • 2001
  • A large area plasma source using parallel 2x2 ICP antennas showed improved etching uniformity by the E-ICP operation. ITO etching process with CH$_4$ gas chemistry is optimized with the DOE(Design of Experiment) based on Taguchi method. Various methane ratios in methane and argon mixture are compared to confirm the effect of polymerization. The analysis shows that the effect of bias power is the largeset. We obtained higher ITO etching rate and better uniformity on 350x300mm substrate at the 50Hz magnetization frequency of the E-ICP operation technique.

  • PDF

초미세 공정에 적합한 ICP(Inductive Coupled Plasma) 식각 알고리즘 개발 및 3차원 식각 모의실험기 개발 (Development of New Etching Algorithm for Ultra Large Scale Integrated Circuit and Application of ICP(Inductive Coupled Plasma) Etcher)

  • 이영직;박수현;손명식;강정원;권오근;황호정
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1999년도 하계종합학술대회 논문집
    • /
    • pp.942-945
    • /
    • 1999
  • In this work, we proposed Proper etching algorithm for ultra-large scale integrated circuit device and simulated etching process using the proposed algorithm in the case of ICP (inductive coupled plasma) 〔1〕source. Until now, many algorithms for etching process simulation have been proposed such as Cell remove algorithm, String algorithm and Ray algorithm. These algorithms have several drawbacks due to analytic function; these algorithms are not appropriate for sub 0.1 ${\mu}{\textrm}{m}$ device technologies which should deal with each ion. These algorithms could not present exactly straggle and interaction between Projectile ions and could not consider reflection effects due to interactions among next projectile ions, reflected ions and sputtering ions, simultaneously In order to apply ULSI process simulation, algorithm considering above mentioned interactions at the same time is needed. Proposed algorithm calculates interactions both in plasma source region and in target material region, and uses BCA (binary collision approximation4〕method when ion impact on target material surface. Proposed algorithm considers the interaction between source ions in sheath region (from Quartz region to substrate region). After the collision between target and ion, reflected ion collides next projectile ion or sputtered atoms. In ICP etching, because the main mechanism is sputtering, both SiO$_2$ and Si can be etched. Therefore, to obtain etching profiles, mask thickness and mask composition must be considered. Since we consider both SiO$_2$ etching and Si etching, it is possible to predict the thickness of SiO$_2$ for etching of ULSI.

  • PDF

HDP를 이용한 실리콘 단결정 Deep Dry Etching에 관한 특성 (Characterization of Deep Dry Etching of Silicon Single Crystal by HDP)

  • 박우정;김장현;김용탁;백형기;서수정;윤대호
    • 한국세라믹학회지
    • /
    • 제39권6호
    • /
    • pp.570-575
    • /
    • 2002
  • 현재 전기 . 전자 기술의 추세는 소형화를 비롯하여 집적화, 저전력화, 저가격화의 장점을 가진 MEMS(Micro Electro Mechanical Systems) device의 개발에 주력하고 있으며, 이를 위해서는 고종횡비와 높은 식각 속도를 가진 HDP(High Density Plasma) etching 기술 개발이 필수적이라 할 수 있다. 이를 위하여 우리는 Inductively Coupled Plasma(ICP) 장비를 이용하여 각 공정 변수에 의한 실리콘 deep trench식각 반응을 연구하였다. 실험 공정 변수인 platen power, etch/passivation cycle time에서 etching 단계 시간에 따른 변화와 SF$_{6}$:C$_4$F$_{8}$ 가스유량을 변화시켜 연구하였으며 또한 이들의 profile, scallops, 식각 속도, 균일도, 선택비도 관찰하였다.

직접 인가된 DC 바이어스에 의한 Si의 건식 식각 (Dry etching of Si by direct DC biasing)

  • 안효준;문성훈;이정수;심규환;양전욱;신희천;이규홍;이진효
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 하계학술대회 논문집 Vol.8
    • /
    • pp.162-163
    • /
    • 2007
  • The dry etching of Si was investigated using direct dc biasing to the Si substrate. The TCP type etching system with a feed-through for applying a dc bias was used in the etching. The applied dc bias and ICP power was varied to examine the effect on the etching at the fixed chamber pressure and $SF_6$ flow rate of 10 mTorr and 10 sccm during. When the plasma was generated at ICP power of 100 W, the etch rate of Si was increased with the bias for the biased samples. However, the etching of Si for the non-biased sample was enhanced for the increased ICP power.

  • PDF

High density plasma etching of novel dielectric thin films: $Ta_{2}O_{5}$ and $(Ba,Sr)TiO_{3}$

  • Cho, Hyun
    • 한국결정성장학회지
    • /
    • 제11권5호
    • /
    • pp.231-237
    • /
    • 2001
  • Etch rates up to 120 nm/min for $Ta_{2}O_{5}$ were achieved in both $SF_{6}/Ar$ and $Cl_{2}/Ar$ discharges. The effect of ultraviolet (UV) light illumination during ICP etching on $Ta_{2}O_{5}$ etch rate in those plasma chemistries was examined and UV illumination was found to produce significant enhancements in $Ta_{2}O_{5}$ etch rates most likely due to photoassisted desorption of the etch products. The effects of ion flux, ion energy, and plasma composition on (Ba, Sr)$TiO_3$ etch rate were examined and maximum etch rate ~90 nm/min was achieved in $Cl_{2}/Ar$ ICP discharges while $CH_{4}/H_{2}/Ar$ chemistry produced extremely low etch rates (${\leq}10\;nm/min$) under all conditions.

  • PDF

자화주파수에 따른 플라즈마 및 산화막식각특성에 관한 연구 (Magnetization Frequency Dependence of Enhanced Inductively Coupled Plasma and Etching Characteristics)

  • 김진우;조수범;박세근;오범환
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2001년도 하계종합학술대회 논문집(2)
    • /
    • pp.37-40
    • /
    • 2001
  • The semiconductor's design rule becomes more stringent, hence the silicon-dioxide etching technique is important issue. In this work we compared the etching characteristics of different three types of Plasma source, Normal ICP, magnetized ICP and E-IC $P^{TM}$. The E-IC $P^{TM}$ source shows higher etch rate at lower pressure and this is advantageous for the fine pattern process. The etching characteristics were varied with external magnetic field frequency at I-lCP and this is examined with Nanospe $c^{TM}$ and SEM. We designed Langmuir probe system for time resolved diagnosis. ion density of E-ICP is varying periodically with the applied external magnetic field frequencyquency

  • PDF

ICP에 의한 $BCI_3/CI_2$플라즈마 내에서 Pt 박막의 식각 특성 (Properties of the Pt Thin Etching in $BCI_3/CI_2$gas by Inductive Coupled Plasma)

  • 김창일;권광후
    • 한국전기전자재료학회논문지
    • /
    • 제11권10호
    • /
    • pp.804-808
    • /
    • 1998
  • The inductively coupled plasma(ICP) etching of platinum with BCl$_3$/Cl$_2$ gas chemistry has been studied. X-ray photoelectron spectroscopy (XPS) was used to investigate the chemical binding states of the etched surface. The plasma characteristics was extracted from optical emission spectroscopy (OES) and a single Langmuir probe. In this case of Pt etching using BCl$_3$/Cl$_2$ gas chemistries, the result of OES and Langmuir probe showed the increase of Cl radicals and ion current densities in the plasmas with increasing Cl$_2$ gas ratio. At the same time, XPS results indicated that the intensities of Pt 4f decreased with increasing Cl$_2$ gas ratio. The decrease of Pt 4f intensities implies the increase of residue layer thickness on the etched Pt surface.

  • PDF