• Title/Summary/Keyword: ICP Etching

Search Result 297, Processing Time 0.025 seconds

Selective Etching of Magnetic Layer Using CO/$NH_3$ in an ICP Etching System

  • Park, J.Y.;Kang, S.K.;Jeon, M.H.;Yeom, G.Y.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.448-448
    • /
    • 2010
  • Magnetic random access memory (MRAM) has made a prominent progress in memory performance and has brought a bright prospect for the next generation nonvolatile memory technologies due to its excellent advantages. Dry etching process of magnetic thin films is one of the important issues for the magnetic devices such as magnetic tunneling junctions (MTJs) based MRAM. CoFeB is a well-known soft ferromagnetic material, of particular interest for magnetic tunnel junctions (MTJs) and other devices based on tunneling magneto-resistance (TMR), such as spin-transfer-torque MRAM. One particular example is the CoFeB - MgO - CoFeB system, which has already been integrated in MRAM. In all of these applications, knowledge of control over the etching properties of CoFeB is crucial. Recently, transferring the pattern by using milling is a commonly used, although the redeposition of back-sputtered etch products on the sidewalls and the low etch rate of this method are main disadvantages. So the other method which has reported about much higher etch rates of >$50{\AA}/s$ for magnetic multi-layer structures using $Cl_2$/Ar plasmas is proposed. However, the chlorinated etch residues on the sidewalls of the etched features tend to severely corrode the magnetic material. Besides avoiding corrosion, during etching facets format the sidewalls of the mask due to physical sputtering of the mask material. Therefore, in this work, magnetic material such as CoFeB was etched in an ICP etching system using the gases which can be expected to form volatile metallo-organic compounds. As the gases, carbon monoxide (CO) and ammonia ($NH_3$) were used as etching gases to form carbonyl volatiles, and the etched features of CoFeB thin films under by Ta masking material were observed with electron microscopy to confirm etched resolution. And the etch conditions such as bias power, gas combination flow, process pressure, and source power were varied to find out and control the properties of magnetic layer during the process.

  • PDF

Dry etching of ZnO thin film using a $CF_4$ mixed by Ar

  • Kim, Do-Young;Kim, Hyung-Jun
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.1504-1507
    • /
    • 2009
  • In this paper, the etching behavior of ZnO in $CF_4$ plasma mixed Ar was investigated. Previously, the etch rate in $CF_4$/Ar plasma was reported that it is slower than that in Cl containing plasma. But, plasma included Cl atom can produce the by-product such as $ZnCl_2$. In order to solve this film contamination, no Cl containing etching gas is required. We controlled the etching parameter such as source power, substrate bias power, and $CF_4$/Ar gas ratio to acquire the fast etch rate using a ICP etcher. We accomplished the etching rate of 144.85 nm/min with the substrate bias power of 200W. As the energetic fluorine atoms were bonded with Zinc atoms, the fluoride zinc crystal ($ZnF_2$) was observed by X-ray photoelectron spectroscopy (XPS).

  • PDF

Microfabrication of Submicron-size Hole on the Silicon Substrate using ICP etching

  • Lee, J.W.;Kim, J.W.;Jung, M.Y.;Kim, D.W.;Park, S.S.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.79-79
    • /
    • 1999
  • The varous techniques for fabrication of si or metal tip as a field emission electron source have been reported due to great potential capabilities of flat panel display application. In this report, 240nm thermal oxide was initially grown at the p-type (100) (5-25 ohm-cm) 4 inch Si wafer and 310nm Si3N4 thin layer was deposited using low pressure chemical vapor deposition technique(LPCVD). The 2 micron size dot array was photolithographically patterned. The KOH anisotropic etching of the silicon substrate was utilized to provide V-groove formation. After formation of the V-groove shape, dry oxidation at 100$0^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have a etch-mask for dry etching. The thicknesses of the grown oxides on the (111) surface and on the (100) etch stop surface were found to be ~330nm and ~90nm, respectively. The reactive ion etching by 100 watt, 9 mtorr, 40 sccm Cl2 feed gas using inductively coupled plasma (ICP) system was performed in order to etch ~90nm SiO layer on the bottom of the etch stop and to etch the Si layer on the bottom. The 300 watt RF power was connected to the substrate in order to supply ~(-500)eV. The negative ion energy would enhance the directional anisotropic etching of the Cl2 RIE. After etching, remaining thickness of the oxide on the (111) was measured to be ~130nm by scanning electron microscopy.

  • PDF

Analysis of Novel Helmholtz-inductively Coupled Plasma Source and Its Application for Nano-Scale MOSFETs

  • Park, Kun-Joo;Kim, Kee-Hyun;Lee, Weon-Mook;Chae, Hee-Yeop;Han, In-Shik;Lee, Hi-Deok
    • Transactions on Electrical and Electronic Materials
    • /
    • v.10 no.2
    • /
    • pp.35-39
    • /
    • 2009
  • A novel Helmholtz coil inductively coupled plasma(H-ICP) etcher is proposed and characterized for deep nano-scale CMOS technology. Various hardware tests are performed while varying key parameters such as distance between the top and bottom coils, the distance between the chamber ceiling and the wafer, and the chamber height in order to determine the optimal design of the chamber and optimal process conditions. The uniformity was significantly improved by applying the optimum conditions. The plasma density obtained with the H-ICP source was about $5{\times}10^{11}/cm^3$, and the electron temperature was about 2-3 eV. The etching selectivity for the poly-silicon gate versus the ultra-thin gate oxide was 482:1 at 10 sccm of $HeO_2$. The proposed H-ICP was successfully applied to form multiple 60-nm poly-silicon gate layers.

High Density Planar Inductively Coupled Plasma Etching of GaAs in BCl$_3$-based Chemistries (BCl$_3$ 기반 가스를 이용한 GaAs의 고밀도 평판형 유도결합 플라즈마 식각)

  • ;;;;;;S.J. Pearton
    • Journal of the Korean institute of surface engineering
    • /
    • v.36 no.5
    • /
    • pp.418-422
    • /
    • 2003
  • 평판형 유도결합 플라즈마 식각장비(inductively coupled plasma etcher)를 이용하여 각종 공정조건들에 따른 GaAs의 식각특성을 연구하였다. 공정변수들은 ICP 소스파워(0-500 W), RIE 척파워(0-150 W), 가스 종류($BCl_3$, $BCl_3$/Ar, $BCl_3$/Ne) 및 가스혼합비였다. $BCl_3$ 가스만을 이용하여 GaAs를 식각한 경우보다 25%의 Ar이나 Ne같은 불활성 기체를 혼합한 $15BCl_3$/5Ar, $15BCl_3$/5Ne 가스를 이용한 경우의 식각률이 더 우수한 것을 확인하였다. 그리고 50% 이하의 Ar이 혼합된 $BCl_3$/Ar의 경우는 높은 식각률 (>4,000 $\AA$/min)과 평탄한 표면(RMS roughness : <2 nm)을 얻을 수 있었지만 지나친 양(>50%)의 Ar의 혼합은 오히려 표면을 거칠게 하거나 식각률을 떨어뜨리는 결과를 가져왔다. 그리고 20 sccm $BCl_3$, 100 W RIE 척파워, 300 W ICP 소스파워, 공정압력이 7.5 mTorr인 조건에서의 GaAs의 식각결과는 아주 우수한 특성(식각률: ∼ 4,000, $\AA$/min, 우수한 수직측벽도: >$87^{\circ}$, 평탄한 표면: RMS roughness : ∼0.6 nm)을 나타내었다.

Characteristics of Plasma etching and Fabrication of Superconducting Flux Flow Transistor (플라즈마 식각 특성과 이를 이용한 초전도 자속 흐름 트랜지스터)

  • Kang, H.G.;Park, C.B.;Lee, K.S.;Kim, H.G.;Hwang, C.S.;Han, B.S.
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.08a
    • /
    • pp.138-141
    • /
    • 2002
  • The channel of the superconducting Flux Flow Transistor has been fabricated with plasma etching method using ICP. The ICP conditions were 700 W of ICP power, 150 W of rf chuck power, 5 mTorr of the pressure in chamber and 1:1 of Ar : $Cl_2$, respectively. The channel etched by plasma gas showed superconducting characteristics of over 77 K and superior surface morphology. The critical current of SFFT was altered by varying the external applied current. As the external applied current increased from 0 to 12 mA, the critical current decreased from 28 to 22 mA. Then the obtained $r_m$ values were smaller than $0.1\Omega$ at a bias current of 40 mA. The current gain was about 0.5. Output resistance was below $0.2\Omega$.

  • PDF

Chemical Reaction on Etched TaNO Thin Film as O2 Content Varies in CF4/Ar Gas Mixing Plasma

  • Woo, Jong Chang;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.18 no.2
    • /
    • pp.74-77
    • /
    • 2017
  • In this work, we investigated the etching characteristics of TaNO thin films and the selectivity of TaNO to $SiO_2$ in an $O_2$/CF4/Ar inductively coupled plasma (ICP) system. The maximum etch rate of TaNO thin film was 297.1 nm/min at a gas mixing ratio of O2/CF4/Ar (6:16:4 sccm). At the same time, the etch rate was measured as a function of the etching parameters, such as the RF power, DC-bias voltage, and process pressure. X-ray photoelectron spectroscopy analysis showed the efficient destruction of the oxide bonds by the ion bombardment, as well as the accumulation of low volatile reaction products on the etched surface. Based on these data, the ion-assisted chemical reaction was proposed as the main etch mechanism for the $CF_4$-containing plasmas.

Ar 중성빔과 $BCl_3$를 이용한 $ZrO_2$의 원자층 식각에 관한 연구

  • Kim, Lee-Yeon;Im, Ung-Seon;Park, Byeong-Jae;Yeom, Geun-Yeong
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.05a
    • /
    • pp.107-107
    • /
    • 2009
  • 본 연구에서는 중성빔을 이용한 Atomic Layer Etching(ALET) system을 이용하여 $ZrO_2$의 atomic layer etching mechanism에 대하여 연구하였다. Ar neutral beam irradiation dose와 $BCl_3$ gas pressure의 변화에 따라 $ZrO_2$ etch rate와 RMS roughness를 관찰했을 때, Ar neutral beam irradiation dose이 $1.485{\times}10^{16}atoms/cm^2{\bullet}cycle$ 이상이고 $BCl_3$ gas pressure가 0.15mTorr 이상 일 때 $ZrO_2$ etch rate은 $1.07\;{\AA}/cycle$의 일정한 값에서 유지됨을 확인하였다. 그리고 ALET와 ICP Etcher을 통해 $ZnO_2$를 각각 식각하여 physically or chemically damage를 비교한 결과, ALET가 기존의 ICP Etcher system보다 $ZrO_2$ 식각공정에 대해 적은 damage를 받는 것을 ARXPS를 통해 관찰 하였다.

  • PDF

Dry Etching Properties of PAR (poly-arylate) Substrate for Flexible Display Application (플렉시블 디스플레이 응용을 위한 폴리아릴레이트 기판의 식각 특성)

  • Hwanga, Jin-Ho
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.29 no.12
    • /
    • pp.824-828
    • /
    • 2016
  • In this study, effects of ICP (inductively coupled plasma) treatment on PAR thin film have been investigated. A maximum etch rate of the PAR thin films and the selectivity of PAR to PR were obtained as 110 nm/minand 1.1 in the $CF_4/O_2$ (5:15 sccm) gas mixture. We present the surface properties of PAR thin film with various treatment conditions. The surface morphology and cross section of the PAR thin film was observed by AFM (atomic force microscopy) and FE-SEM (filed emission scanning electron microscopy).

The Patterning of Polyimide Thin Films for the Additive $CF_4$ gas ($CF_4$ 첨가에 따른 po1yimide 박막의 패터닝 연구)

  • 강필승;김창일;김상기
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.209-212
    • /
    • 2001
  • Polyimide(PI) films have been considered as the interlayer dielectric materials due to low dielectric constant, low water absorption, high gap-fill and planarization capability. The PI film was etched with using inductively coupled plasma (ICP). The etching characteristics such as etch rate and selectivity were evaluated to gas mixing ratio. High etch rate was 8300$\AA$/min and vertical profile was approximately acquired 90$^{\circ}$ at CF$_4$/(CF$_4$+O$_2$) of 0.2. The selectivies of polyimide to PR and SiO$_2$ were 1.2, 5.9, respectively. The etching profiles of PI films with an aluminum pattern were measured by a scanning electron microscope (SEM). The chemical states on the PI film surface were investigated by x-ray photoelectron spectroscopy (XPS). Radical densities of oxygen and fluorine in different gas mixing ratio of 07CF4 were investigated by optical emission spectrometer (OES).

  • PDF