• Title/Summary/Keyword: High level synthesis

Search Result 397, Processing Time 0.026 seconds

Scheduling Considering Bit-Level Delays for High-Level Synthesis (상위수준 합성을 위한 비트단위 지연시간을 고려한 스케줄링)

  • Kim, Ji-Woong;Shin, Hyun-Chul
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.45 no.11
    • /
    • pp.83-88
    • /
    • 2008
  • In this paper, a new scheduling method considering bit-level delays for high-level synthesis is proposed. Conventional bit-level delay calculation for high-level synthesis was usually limited for specific resources. However, we have developed an efficient bit-level delay calculation method which is applicable to various resources, in this research. This method is applied to scheduling. The scheduling algorithm is based on list scheduling and executes chaining considering bit-level delays. Furthermore, multi-cycle chaining can be allowed to improve performance under resource constraints. Experimental results on several well-known DSP examples show that our method improves the performance of the results by 14.7% on the average.

Synthesis of Hollandite Powders as a Nuclear Waste Ceramic Forms by a Solution Combustion Synthesis (연소합성법을 이용한 방사성폐기물 고화체 Hollandite 분말 합성)

  • Choong-Hwan Jung;Sooji Jung
    • Korean Journal of Materials Research
    • /
    • v.33 no.10
    • /
    • pp.385-392
    • /
    • 2023
  • A solution combustion process for the synthesis of hollandite (BaAl2Ti6O16) powders is described. SYNROC (synthetic rock) consists of four main titanate phases: perovskite, zirconolite, hollandite and rutile. Hollandite is one of the crystalline host matrices used for the disposal of high-level radioactive wastes because it immobilizes Sr and Lns elements by forming solid solutions. The solution combustion synthesis, which is a self-sustaining oxi-reduction reaction between a nitrate and organic fuel, generates an exothermic reaction and that heat converts the precursors into their corresponding oxide products in air. The process has high energy efficiency, fast heating rates, short reaction times, and high compositional homogeneity. To confirm the combustion synthesis reaction, FT-IR analysis was conducted using glycine with a carboxyl group and an amine as fuel to observe its bonding with metal element in the nitrate. TG-DTA, X-ray diffraction analysis, SEM and EDS were performed to confirm the formed phases and morphology. Powders with an uncontrolled shape were obtained through a general oxide-route process, confirming hollandite powders with micro-sized soft agglomerates consisting of nano-sized primary particles can be prepared using these methods.

Power-conscious high level synthesis using loop folding (루프의 중첩을 이용한 저전력 상위 수준 합성)

  • 김대홍;최기영
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.34C no.6
    • /
    • pp.1-10
    • /
    • 1997
  • By considering low power design at higher levels of abstraction rather than at lower levels of abstraction, we can apply various transformation techniques to a system design with wider view and obtain much more effective power reduction with less cost and effort. In this paper, a transformation technique, called power - conscious loop folding is proposed for high level synthesis of a low power system.Our work is focused on reducing the power consumed by functional units in adata path dominated circuit through the decrease of switching activity. Te transformation algorithm has been implemented and integrated into HYPER, a high level synthesis system for experiments. In our experiments, we could achieve a pwoer reduction of up to 50% for data path dominated circuits.

  • PDF

A Minimal Resource High-Level Synthesis Algorithm for Low Power Design Automation (저 전력 설계 자동화를 위한 최소 자원 상위 레벨 합성 알고리즘)

  • Lin, Chi-Ho
    • The Journal of The Korea Institute of Intelligent Transport Systems
    • /
    • v.7 no.3
    • /
    • pp.95-99
    • /
    • 2008
  • This paper proposes a new minimal resource high-level synthesis algorithm for low power design automation. The proposed algorithm executes an efficient approach to minimize the power consumption of the functional units in a circuit during the high level synthesis. In this paper, we visit all control steps one by one to reduce the switching activity in CDFG. The register sharing algorithm determines the minimum register after the life time analysis of all variable. According to property of input signal for functional unit, the proposed method visits all control step one by one and determines the resource allocation with minimal power consumption at each control step in a greedy fashion. The effect of the proposed algorithm has been proved through various filter benchmark to adopt a new scheduling and allocation algorithm considering the low rover.

  • PDF

Design and Implementation of a C-to-SystemC Synthesizer (C-to-SystemC 합성기의 설계 및 구현)

  • You, Myoung-Keun;Song, Gi-Yong
    • Journal of the Institute of Convergence Signal Processing
    • /
    • v.10 no.2
    • /
    • pp.141-145
    • /
    • 2009
  • A C-to-SystemC synthesizer which processes the input behavior according to high-level synthesis, and then transforms the synthesis result into SystemC module code is implemented in this paper. In the synthesis process, the input behavioral description in C source code is scheduled using list scheduling algorithm and register allocation is performed using left-edge algorithm on the result of scheduling. In the SystemC process, the output from high-level synthesis process is transformed into SystemC module code by combining it with SystemC features such as channels and ports. The operation of the implemented C-to-SystemC synthesizer is validated through simulating the synthesis of elliptic wave filter in SystemC code. C-to-SystemC synthesizer can be used as a part of tool-chain which helps to implement SystemC design methodology covering from modeling to synthesis.

  • PDF

A New SoC Platform with an Application-Specific PLD (전용 PLD를 가진 새로운 SoC 플랫폼)

  • Lee, Jae-Jin;Song, Gi-Yong
    • Journal of the Institute of Convergence Signal Processing
    • /
    • v.8 no.4
    • /
    • pp.285-292
    • /
    • 2007
  • SoC which deploys software modules as well as hardware IPs on a single chip is a major revolution taking place in the implementation of a system design, and high-level synthesis is an important process of SoC design methodology. Recently, SPARK parallelizing high-level synthesis software tool has been developed. It takes a behavioral ANSI-C code as an input, schedules it using code motion and various code transformations, and then finally generates synthesizable RTL VHDL code. Although SPARK employs various loop transformation algorithms, the synthesis results generated by SPARK are not acceptable for basic signal and image processing algorithms with nested loop. In this paper we propose a SoC platform with an application-specific PLD targeting local operations which are feature of many loop algorithms used in signal and image processing, and demonstrate design process which maps behavioral specification with nested loops written in a high-level language (ANSI-C) onto 2D systolic array. Finally the derived systolic array is implemented on the proposed application-specific PLD of SoC platform.

  • PDF

A method for Clock Selection in High-Level Synthesis (상위수준 합성에서의 클록 선택 방법)

  • Oh, Ju-Young
    • The Journal of Korea Institute of Information, Electronics, and Communication Technology
    • /
    • v.4 no.2
    • /
    • pp.83-87
    • /
    • 2011
  • Clock selection has a significant impact on the performance and quality of designs in high-level synthesis. Almost systems require that the clock length is required prior to scheduling, the best value of the clock can be found only after evaluating different schedules. In this study, we presents a scheduling method that works simultaneously with synthesis by selecting a clock from a chainable operation set. Our scheduling algorithm is based on list scheduling and executes chaining considering bit level delays based on selected clock period. Experimental results show that our method improves the performance by 18 percent.

Memory Exploration utilizing Scheduling Effects in High-level Synthesis (상위 단계 합성에서의 스케줄링 효과를 이용한 메모리 탐색)

  • 서재원;김태환
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2002.04a
    • /
    • pp.1-3
    • /
    • 2002
  • 본 논문에서는 상위 단계 합성(high-level synthesis)에서의 메모리 탐색(exploration) 문제를 푸는 데 있어, 현존하는 메모리 합성 시스템들이 간과했던 한 가지 중요한 성질인 메모리 탐색에서의 스케줄링 효과(scheduling effect)를 말하고자 한다. 그리고 이 성질을 충분히 활용할 수 있는 새로운 형태의 통합된 알고리즘을 제안한다. 이 알고리즘은 메모리 구성(configuration)과 스케줄을 동시에 고려한다는 것을 가장 큰 특징으로 하는데, 몇 개의 벤치마크 필터 회로에 대한 실험을 통해 제안된 탐색 기법이 빠른 시간 안에 최적에 가까운 메모리 구성을 찾는다는 것을 보일 수 있었다.

  • PDF

FSM Synthesis from High-Level Descriptions (상위 수준 기술로부터 순차 회로의 자동 생성)

  • 황선영;유진수
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.27 no.12
    • /
    • pp.1906-1915
    • /
    • 1990
  • A synthesis system generating sequential circuits from a high-level hardware descdription language CHDL, modelling language for Thor functional/behavioral simulator, is developed. In this paper, we describe the semantic analysis process, state minimization and state assignment algorithms. proposed assignment algorithm generates optimal state vectors using constraint matrix and similarity graph. Expremental results for MCNC benchmarks, standard test circuits, show that the system inplementing the proposed algorithms can be a viable tool for designing large finite state machines.

  • PDF

A Register Scheduling and Allocation Algorithm for Low Power High Level synthesis (저전력 상위 레벨 합성을 위한 레지스터 스케줄링 및 할당알고리듬)

  • 최지영;인치호;김희석
    • Proceedings of the IEEK Conference
    • /
    • 2000.11b
    • /
    • pp.188-191
    • /
    • 2000
  • This paper presents a register scheduling and allocation algorithm for high level synthesis. The proposed algorithm executes the low power scheduling to reduce the switching activity using shut down technique which was not unnecessary the calculation through the extraction DFG from VHDL description. Also, the register allocation algorithm determines the minimum register after the life time analysis of all variable. It is minimum the switching activity using graph coloring technique for low power consumption. The proposed algorithm proves the effect through various filter benchmark to adopt a new scheduling and allocation algorithm considering the low power.

  • PDF