• Title/Summary/Keyword: Etch uniformity

Search Result 81, Processing Time 0.025 seconds

Critical dimension uniformity improvement by adjusting etch selectivity in Cr photomask fabrication

  • O, Chang-Hun;Gang, Min-Uk;Han, Jae-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.213-213
    • /
    • 2016
  • 현재 반도체 산업에서는 디바이스의 고 집적화, 고 수율을 목적으로 패턴의 미세화 및 웨이퍼의 대면적화와 같은 이슈가 크게 부각되고 있다. 다중 패터닝(multiple patterning) 기술을 통하여 고 집적 패턴을 구현이 가능해졌으며, 이와 같은 상황에서 각 패턴의 임계치수(critical dimension) 변화는 패턴의 위치 및 품질에 큰 영향을 끼치기 때문에 포토마스크의 임계치수 균일도(critical dimension uniformity, CDU)가 제작 공정에서 주요 파라미터로 인식되고 있다. 반도체 광 리소그래피 공정에서 크롬(Cr) 박막은 사용되는 포토 마스크의 재료로 널리 사용되고 있으며, 이러한 포토마스크는 fused silica, chrome, PR의 박막 층으로 이루어져 있다. 포토마스크의 패턴은 플라즈마 식각 장비를 이용하여 형성하게 되므로, 식각 공정의 플라즈마 균일도를 계측하고 관리 하는 것은 공정 결과물 관리에 필수적이며 전체 반도체 공정 수율에도 큰 영향을 미친다. 흔히, 포토마스크 임계치수는 플라즈마 공정에서의 라디칼 농도 및 식각 선택비에 의해 크게 영향을 받는 것으로 알려져 왔다. 본 연구에서는 Cr 포토마스크 에칭 공정에서의 Cl2/O2 공정 플라즈마에 대해 O2 가스 주입량에 따른 식각 선택비(etch selectivity) 변화를 계측하여 선택비 제어를 통한 Cr 포토마스크 임계치수 균일도 향상을 실험적으로 입증하였다. 연구에서 사용한 플라즈마 계측 방법인 발광분광법(OES)과 optical actinometry의 적합성을 확인하기 위해서 Cl2 가스 주입량에 따른 actinometer 기체(Ar)에 대한 atomic Cl 농도비를 계측하였고, actinometry 이론에 근거하여 linear regression error 1.9%을 보였다. 다음으로, O2 가스 주입비에 따른 Cr 및 PR의 식각률(etch rate)을 계측함으로써 식각 선택비(etch selectivity)의 변화율이 적은 O2 가스 농도 범위(8-14%)를 확인하였고, 이 구간에서 임계치수 균일도가 가장 좋을 것으로 예상할 수 있었다. (그림 1) 또한, spatially resolvable optical emission spectrometer(SROES)를 사용하여 플라즈마 챔버 내부의 O atom 및 Cl radical의 공간 농도 분포를 확인하였다. 포토마스크의 임계치수 균일도(CDU)는 챔버 내부의 식각 선택비의 변화율에 강하게 영향을 받을 것으로 예상하였고, 이를 입증하기 위해 각각 다른 O2 농도 환경에서 포토마스크 임계치수 값을 확인하였다. (표1) O2 11%에서 측정된 임계치수 균일도는 1.3nm, 그 외의 O2 가스 주입량에 대해서는 임계치수 균일도 ~1.7nm의 범위를 보이며, 이는 25% 임계치수 균일도 향상을 의미함을 보인다.

  • PDF

A Study on the Nitride Residue and Pad Oxide Damage of Shallow Trench Isolation(STI)-Chemical Mechanical Polishing(CMP) Process (STI-CMP 공정의 질화막 잔존물 및 패드 산화막 손상에 대한 연구)

  • Lee, U-Seon;Seo, Yong-Jin;Kim, Sang-Yong;Jang, Ui-Gu
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.50 no.9
    • /
    • pp.438-443
    • /
    • 2001
  • In the shallow trench isolation(STI)-chemical mechanical polishing(CMP) process, the key issues are the optimized thickness control, within-wafer-non-uniformity, and the possible defects such as pad oxide damage and nitride residue. The defect like nitride residue and silicon (or pad oxide) damage after STI-CMP process were discussed to accomplish its optimum process condition. To understand its optimum process condition, overall STI related processes including reverse moat etch, trench etch, STI fill and STI-CMP were discussed. Consequently, we could conclude that law trench depth and high CMP thickness can cause nitride residue, and high trench depth and over-polishing can cause silicon damage.

  • PDF

Properties of AlSi etching using the MERIE type reactor (MERIE형 반응로를 이용한 AlSi의 식각 특성)

  • 김창일;김태형;장의구
    • Electrical & Electronic Materials
    • /
    • v.9 no.2
    • /
    • pp.188-195
    • /
    • 1996
  • The AlSi etching process using the MERIE type reactor carried out with different process parameters such as C1$_{2}$ and N$_{2}$ gas flow rate, RF power and chamber pressure. The etching characteristics were evaluated in terms of etch rate, selectivity, uniformity and etched profile. As the N2 gas flow rate is increased, the AlSi etch rate is decreased and uniformity has remained constant within .+-.5%. The etch rate is increased and uniformity is decreased, according to increment of the C1$_{2}$ gas flow rate, RF power and chamber pressure. Selective etching of TEOS with respect to AlSi is decreased as the RF power is increased while it is increased by increment of the C1$_{2}$ gas flow rate and chamber pressure, on the other hand, selective etching of photoresist with respect to AlSi is increased by increment of the C1$_{2}$ gas flow rate and chamber pressure, it is decreased as the N$_{2}$ gas flow rate is increased.

  • PDF

Study of Characteristics Variation of Etching according to Gas Flow in Poly-Si Dry Etching using ICP Poly Etcher (ICP Poly Etcher를 이용한 Poly-Si Dry Etch시 Gas Flow에 따른 Etching 특성 변화 연구)

  • Kim, Dong-Il;Han, Seung-Su
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2015.11a
    • /
    • pp.180-181
    • /
    • 2015
  • 본 논문에서는 ICP Poly Etcher를 이용한 Dry Etch에서 몇가지 공정조건의 변화에 따른 Etching 특성 변화를 연구하였다. 주요 가스유량들이 증가 할 때, Poly-Si 의 Etch rate는 증가 하였으며 Uniformity는 나빠진 것을 확인 할 수 있었고 다른 특성들은 특별한 변화를 보이지 않았다. 주요 Gas인 HBr의 증가는 PR(Photo Resist)와 Uniformity에 영향을 주었다. 이 논문을 통해 HBr의 유량이 Poly-Si Etching에 영향을 주는 결과를 알아 볼 수 있었고 HBr 가스의 유량 증가가 Polymer의 생성에 영향을 줘 Selectivity와 Uniformity를 증가 시킨다는 것도 확인 해 볼 수 있었다.

  • PDF

Quantitative Analysis for Plasma Etch Modeling Using Optical Emission Spectroscopy: Prediction of Plasma Etch Responses

  • Jeong, Young-Seon;Hwang, Sangheum;Ko, Young-Don
    • Industrial Engineering and Management Systems
    • /
    • v.14 no.4
    • /
    • pp.392-400
    • /
    • 2015
  • Monitoring of plasma etch processes for fault detection is one of the hallmark procedures in semiconductor manufacturing. Optical emission spectroscopy (OES) has been considered as a gold standard for modeling plasma etching processes for on-line diagnosis and monitoring. However, statistical quantitative methods for processing the OES data are still lacking. There is an urgent need for a statistical quantitative method to deal with high-dimensional OES data for improving the quality of etched wafers. Therefore, we propose a robust relevance vector machine (RRVM) for regression with statistical quantitative features for modeling etch rate and uniformity in plasma etch processes by using OES data. For effectively dealing with the OES data complexity, we identify seven statistical features for extraction from raw OES data by reducing the data dimensionality. The experimental results demonstrate that the proposed approach is more suitable for high-accuracy monitoring of plasma etch responses obtained from OES.

Neural Network Models of Oxide Film Etch Process for Via Contact Formation (Via Contact 형성을 위한 산화막 식각공정의 신경망 모델)

  • 박종문;권성구;박건식;유성욱;배윤구;김병환;권광호
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.1
    • /
    • pp.7-14
    • /
    • 2002
  • In this paper, neutral networks are used to build models of oxide film etched In CHF$_3$/CF$_4$ with a magnetically enhanced reactive ion etcher(MERIE). A statistical 2$\^$4-1/ experimental design plus one center point was used to characterize relationships between process factors and etch responses. The factors that were varied include radio frequence(rf) power, pressure, CHF$_3$ and CF$_4$ flow rates. Resultant 9 experiments were used to train neural networks and trained networks were subsequently tested on its appropriateness using additionally conducted 8 experiments. A total of 17 experiments were thus conducted for this modeling. The etch responses modeled are dc bias voltage, etch rate and etch uniformity A qualitative, good agreement was obtained between predicted and observed behaviors.

Prediction of Etch Profile Uniformity Using Wavelet and Neural Network

  • Park, Won-Sun;Lim, Myo-Taeg;Kim, Byungwhan
    • International Journal of Control, Automation, and Systems
    • /
    • v.2 no.2
    • /
    • pp.256-262
    • /
    • 2004
  • Conventionally, profile non-uniformity has been characterized by relying on approximated profile with angle or anisotropy. In this study, a new non-uniformity model for etch profile is presented by applying a discrete wavelet to the image obtained from a scanning electron microscopy (SEM). Prediction models for wavelet-transformed data are then constructed using a back-propagation neural network. The proposed method was applied to the data collected from the etching of tungsten material. Additionally, 7 experiments were conducted to obtain test data. Model performance was evaluated in terms of the average prediction accuracy (APA) and the best prediction accuracy (BPA). To take into account randomness in initial weights, two hundred models were generated for a given set of training factors. Behaviors of the APA and BPA were investigated as a function of training factors, including training tolerance, hidden neuron, initial weight distribution, and two slopes for bipolar sig-moid and linear function. For all variations in training factors, the APA was not consistent with the BPA. The prediction accuracy was optimized using three approaches, the best model based approach, the average model based approach and the combined model based approach. Despite the largest APA of the first approach, its BPA was smallest compared to the other two approaches.

Temperature Analysis of Electrostatic Chuck for Cryogenic Etch Equipment (극저온 식각장비용 정전척 쿨링 패스 온도 분포 해석)

  • Du, Hyeon Cheol;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • v.20 no.2
    • /
    • pp.19-24
    • /
    • 2021
  • As the size of semiconductor devices decreases, the etching pattern becomes very narrow and a deep high aspect ratio process becomes important. The cryogenic etching process enables high aspect ratio etching by suppressing the chemical reaction of reactive ions on the sidewall while maintaining the process temperature of -100℃. ESC is an important part for temperature control in cryogenic etching equipment. Through the cooling path inside the ESC, liquid nitrogen is used as cooling water to create a cryogenic environment. And since the ESC directly contacts the wafer, it affects the temperature uniformity of the wafer. The temperature uniformity of the wafer is closely related to the yield. In this study, the cooling path was designed and analyzed so that the wafer could have a uniform temperature distribution. The optimal cooling path conditions were obtained through the analysis of the shape of the cooling path and the change in the speed of the coolant. Through this study, by designing ESC with optimal temperature uniformity, it can be expected to maximize wafer yield in mass production and further contribute to miniaturization and high performance of semiconductor devices.

Characterization of Via Etching in $CHF_3/CF_4$ Magnetically Enhanced Reactive Ion Etching Using Neural Networks

  • Kwon, Sung-Ku;Kwon, Kwang-Ho;Kim, Byung-Whan;Park, Jong-Moon;Yoo, Seong-Wook;Park, Kun-Sik;Bae, Yoon-Kyu;Kim, Bo-Woo
    • ETRI Journal
    • /
    • v.24 no.3
    • /
    • pp.211-220
    • /
    • 2002
  • This study characterizes an oxide etching process in a magnetically enhanced reactive ion etching (MERIE) reactor with a $CHF_3/CF_4$ gas chemistry. We use a statistical $2^{4-1}$ experimental design plus one center point to characterize the relationships between the process factors and etch responses. The factors that we varied in the design include RF power, pressure, and gas composition, and the modeled etch responses were the etch rate, etch selectivity to TiN, and uniformity. The developed models produced 3D response plots. Etching of $SiO_2$ mainly depends on F density and ion bombardment. $SiO_2$ etch selectivity to TiN sensitively depends on the F density in the plasma and the effects of ion bombardment. The process conditions for a high etch selectivity are a 0.3 to 0.5 $CF_4$ flow ratio and a -600 V to -650 V DC bias voltage according to the process pressure in our experiment. Etching uniformity was improved with an increase in the $CF_4$ flow ratio in the gas mixture, an increase in the source power, and a higher pressure. Our characterization of via etching in a $CHF_3/CF_4$ MERIE using neural networks was successful, economical, and effective. The results provide highly valuable information about etching mechanisms and optimum etching conditions.

  • PDF

Study on vertical wet etching of aluminum metal film for TFT application

  • Lee, Sang-Hyuk;Seo, Bo-Hyun;Lee, In-Kyu;Seo, Jong-Hyun;Lee, Kang-Woong;Jeon, Jae-Hong;Choe, Hee-Hwan;Ryu, Jong-Hyeok;Park, Byung-Woo;Chang, Dae-Hyun
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.1479-1482
    • /
    • 2009
  • Compared with tilt transfer wet station, vertical etching system has a variety of advantages that are 50% space savings, higher throughput, fairly good etch uniformity over an entire glass for thin film transistor application. The aim of the present work is to study on a vertical etching system to improve the process factors. The computational fluid dynamics analysis is used to demonstrate the change of the etch uniformity as a function of tilt angle of the glass substrate.

  • PDF