• Title/Summary/Keyword: End-point detection

Search Result 161, Processing Time 0.026 seconds

A Improvement of Server Diffusion Prevention of APT Attack through the END-POINT Detection and Blocking (END-POINT에서의 탐지 및 차단을 통한 APT 공격의 서버 확산방지 개선)

  • Kim, Woo Geun;Lee, Sang-Gon
    • Proceedings of the Korean Society of Computer Information Conference
    • /
    • 2017.01a
    • /
    • pp.133-134
    • /
    • 2017
  • 본 논문에서는 APT 공격의 공격 시나리오와 그에 따른 방어 시나리오를 구상하여 기존 방어법의 문제점을 찾고 방어대책을 제시하고 솔루션을 구축하였다. 제안된 방어 프로세스는 기존의 방식과 달리 END-POINT에서 침투에 대해 모니터링을 통하여 APT공격에 대응하는 방식이다. 공격 툴 넷버스, 백오리피스, 서브세븐, 스쿨버스를 이용해서 공격을 시도 한 뒤 본 논문에서 구축한 방어 프로세스를 이용하여 방어 실험을 실시하였다.

  • PDF

Determination of End Point for Direct Chemical Mechanical Polishing of Shallow Trench Isolation Structure

  • Seo, Yong-Jin;Lee, Kyoung-Jin;Kim, Sang-Yong;Lee, Woo-Sun
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • v.3C no.1
    • /
    • pp.28-32
    • /
    • 2003
  • In this paper, we have studied the in-situ end point detection (EPD) for direct chemical mechanical polishing (CMP) of shallow trench isolation (STI) structures without the reverse moat etch process. In this case, we applied a high selectivity $1n (HSS) that improves the silicon oxide removal rate and maximizes oxide to nitride selectivity Quite reproducible EPD results were obtained, and the wafer-to-wafer thickness variation was significantly reduced compared with the conventional predetermined polishing time method without EPD. Therefore, it is possible to achieve a global planarization without the complicated reverse moat etch process. As a result, the STI-CMP process can be simplified and improved using the new EPD method.

Development of a Real-time Voice Recognition Dialing System; (실시간 음성인식 다이얼링 시스템 개발)

  • 이세웅;최승호;이미숙;김흥국;오광철;김기철;이황수
    • Information and Communications Magazine
    • /
    • v.10 no.10
    • /
    • pp.22-29
    • /
    • 1993
  • This paper describes development of a real-time voice recognition dialing system which can recognize around one hundred word vocabularies in speaker independent mode. The voice recognition algorithm is implemented on a DSP board with a telephone interface plugged in an IBM PC AT/486. In the DSP board, procedures for feature extraction, vector quantization(VQ), and end-point detection are performed simultaneously in every 10msec frame interval to satisfy real-time constraints after the word starting point detection. In addition, we optimize the VQ codebook size and the end-point detection procedure to reduce recognition time and memory requirement. The demonstration system is being displayed in MOBILAB of Korea Mobile Telecom at the Taejon EXPO '93.

  • PDF

Malfunction detection in plasma etching process using EPD signal trace (EPD 신호검출에 의한 플라즈마식각공정의 이상검출)

  • 이종민;차상엽;최순혁;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 1996.10b
    • /
    • pp.1360-1363
    • /
    • 1996
  • EPD(End Point Detection) is used to decide etching degree of layer which must be removed at wafer etching process in plasma etching process which is one of the most important process in semiconductor manufacturing. In this thesis, the method which detects malfunction of etching process in real-time will be discussed. Several EPD signal traces are collected in normal plasma etching condition and used as reference EPD signal traces. Critical points can be detected by applying differentiation and zero-crossing techniques to reference EPD signal. Mean and standard deviation of critical parameters which is memorized from reference EPD signal are calculated and these determine the lower and higher limit of control chart. And by applying statical control chart to EPD signals which are collected in real etching process malfunctions of process are detected in real-time. By means of applying this method to the real etching process we prove our method can accurately detect the malfunction of etching process and can compensate disadvantage of current industrial method.

  • PDF

A Study for Stable End Point Detection in 90 nm WSix/poly-Si Stack-down Gate Etching Process (90 nm급 텅스텐 폴리사이드 게이트 식각공정에서 식각종말점의 안정화에 관한 연구)

  • Ko, Yong-Deuk;Chun, Hui-Gon;Lee, Jing-Hyuk
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.18 no.3
    • /
    • pp.206-211
    • /
    • 2005
  • The device makers want to make higher density chips on the wafer through scale-down. The change of WSix/poly-Si gate film thickness is one of the key issues under 100 nm device structure. As a new device etching process is applied, end point detection(EPD) time delay was occurred in DPS+ poly chamber of Applied Materials. This is a barrier of device shrink because EPD time delay made physical damage on the surface of gate oxide. To investigate the EPD time delay, the experimental test combined with OES(Optical Emission Spectroscopy) and SEM(Scanning Electron Microscopy) was performed using patterned wafers. As a result, a EPD delay time is reduced by a new chamber seasoning and a new wavelength line through plasma scan. Applying a new wavelength of 252 nm makes it successful to call corrected EPD in WSix/poly-Si stack-down gate etching in the DPS+ poly chamber for the current and next generation devices.

On Improving Convergence Speed and NET Detection Performance for Adaptive Echo Canceller (향상된 수렴 속도와 근단 화자 신호 검출능력을 갖는 적응 반향 제거기)

  • 김남선
    • Proceedings of the Acoustical Society of Korea Conference
    • /
    • 1992.06a
    • /
    • pp.23-28
    • /
    • 1992
  • The purpose of this paper is to develop a new adaptive echo canceller improving convergence speed and near-end-talker detection performance of the conventional echo canceller. In a conventional adaptive echo canceller, an adaptive digital filter with TDL(Tapped-Delay Line) structure modelling the echo path uses the LMS(Least Mean Square) algorithm to cote the coefficients, and NET detector using energy comparison method prevents the adaptive digital filter to update the coefficients during the periods of the NET signal presence. The convergence speed of the LMS algorithm depends on the eigenvalue spread ratio of the reference signal and NET detector using the energy comparison method yields poor detection performance if the magnitude of the NET signal is small. This paper presents a new adaptive echo canceller which uses the pre-whitening filter to improve the convergence speed of the LMS algorithm. The pre-whitening filter is realized by using a low-order lattice predictor. Also, a new NET signal detection algorithm is presented, where the start point of the NET signal is detected by computing the cross-correlation coefficient between the primary input and the ADF(Adaptive Digital Filter) output while the end point is detected by using the energy comparison method. The simulation results show that the convergence speed of the proposed adaptive echo canceller is faster than that of the conventional echo canceller and the cross-correlation coefficient yield more accurate detection of the start point of the NET signal.

  • PDF

End-to-End based 3D Model Generation Method using a Single LiDAR (단일 LiDAR를 활용한 End-to-End 기반 3D 모델 생성 방법)

  • Kwak, Jeonghoon;Sung, Yunsick
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2020.05a
    • /
    • pp.532-533
    • /
    • 2020
  • 원격 및 가상환경에서 사용자의 동작에 따른 3D 모델을 제공하기 위해 light detection and range (LiDAR)로 측정된 3D point cloud로 사용자의 3D 모델이 생성되어 원격 및 가상환경에 사용자의 모습이 제공된다. 하지만 3D 모델을 생성하기 위해서는 사용자의 신체 전부가 측정된 3D point cloud가 필요하다. 사용자의 신체 전체를 측정하기 위해서는 적어도 두 개 이상의 LiDAR가 필요하다. 두 개 이상의 LiDAR을 사용할 경우에는 LiDAR을 사용할 공간과 LiDAR를 구비하기 위한 비용이 발생한다. 단일 LiDAR로 3D 모델을 생성하는 방법이 요구된다. 본 논문에서는 단일 LiDAR에서 측정된 3D point cloud를 이용하여 3D 모델을 생성하는 방법이 제안된다. End-to-End 기반 Convolutional Neural Network (CNN) 모델로 측정된 3D point cloud를 분석하여 사용자의 체형과 자세를 예측하도록 학습한다. 기본자세를 취하는 동안 수집된 3D point cloud로 기본이 되는 사용자의 3D 모델을 생성한다. 학습된 CNN 모델을 통하여 측정된 3D point cloud로 사용자의 자세를 예측하여 기본이 되는 3D 모델을 수정하여 3D 모델을 제공한다.

Prerequisite Research for the Development of an End-to-End System for Automatic Tooth Segmentation: A Deep Learning-Based Reference Point Setting Algorithm (자동 치아 분할용 종단 간 시스템 개발을 위한 선결 연구: 딥러닝 기반 기준점 설정 알고리즘)

  • Kyungdeok Seo;Sena Lee;Yongkyu Jin;Sejung Yang
    • Journal of Biomedical Engineering Research
    • /
    • v.44 no.5
    • /
    • pp.346-353
    • /
    • 2023
  • In this paper, we propose an innovative approach that leverages deep learning to find optimal reference points for achieving precise tooth segmentation in three-dimensional tooth point cloud data. A dataset consisting of 350 aligned maxillary and mandibular cloud data was used as input, and both end coordinates of individual teeth were used as correct answers. A two-dimensional image was created by projecting the rendered point cloud data along the Z-axis, where an image of individual teeth was created using an object detection algorithm. The proposed algorithm is designed by adding various modules to the Unet model that allow effective learning of a narrow range, and detects both end points of the tooth using the generated tooth image. In the evaluation using DSC, Euclid distance, and MAE as indicators, we achieved superior performance compared to other Unet-based models. In future research, we will develop an algorithm to find the reference point of the point cloud by back-projecting the reference point detected in the image in three dimensions, and based on this, we will develop an algorithm to divide the teeth individually in the point cloud through image processing techniques.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Optimization of Link-level Performance and Complexity for the Floating-point and Fixed-point Designs of IEEE 802.16e OFDMA/TDD Mobile Modem (IEEE 802.16e OFDMA/TDD 이동국 모뎀의 링크 성능과 복잡도 최적화를 위한 부동 및 고정 소수점 설계)

  • Sun, Tae-Hyoung;Kang, Seung-Won;Kim, Kyu-Hyun;Chang, Kyung-Hi
    • Journal of the Institute of Electronics Engineers of Korea TC
    • /
    • v.43 no.11 s.353
    • /
    • pp.95-117
    • /
    • 2006
  • In this paper, we describe the optimization of the link-level performance and the complexity of floating-point and fixed-point methods in IEEE 802.16e OFDMA/TDD mobile modem. In floating-point design, we propose the channel estimation methods for downlink traffic channel and select the optimized method using computer simulation. So we also propose efficent algorithms for time and frequency synchronization, Digital Front End and CINR estimation scheme to optimize the system performance. Furthermore, we describe fixed-point method of uplink traffic and control channels. The superiority of the proposed algorithm is validated using the performances of Detection, False Alarm, Missing Probability and Mean Acquisition Time, PER Curve, etc. For fixed-point design, we propose an efficient methodology for optimized fixed-point design from floating-point At last, we design fixed-point of traffic channel, time and frequency synchronization, DFE block in uplink and downlink. The tradeoff between performance and complexity are optimized through computer simulations.