• 제목/요약/키워드: End-Point Detection

검색결과 161건 처리시간 0.029초

END-POINT에서의 탐지 및 차단을 통한 APT 공격의 서버 확산방지 개선 (A Improvement of Server Diffusion Prevention of APT Attack through the END-POINT Detection and Blocking)

  • 김우근;이상곤
    • 한국컴퓨터정보학회:학술대회논문집
    • /
    • 한국컴퓨터정보학회 2017년도 제55차 동계학술대회논문집 25권1호
    • /
    • pp.133-134
    • /
    • 2017
  • 본 논문에서는 APT 공격의 공격 시나리오와 그에 따른 방어 시나리오를 구상하여 기존 방어법의 문제점을 찾고 방어대책을 제시하고 솔루션을 구축하였다. 제안된 방어 프로세스는 기존의 방식과 달리 END-POINT에서 침투에 대해 모니터링을 통하여 APT공격에 대응하는 방식이다. 공격 툴 넷버스, 백오리피스, 서브세븐, 스쿨버스를 이용해서 공격을 시도 한 뒤 본 논문에서 구축한 방어 프로세스를 이용하여 방어 실험을 실시하였다.

  • PDF

Determination of End Point for Direct Chemical Mechanical Polishing of Shallow Trench Isolation Structure

  • Seo, Yong-Jin;Lee, Kyoung-Jin;Kim, Sang-Yong;Lee, Woo-Sun
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • 제3C권1호
    • /
    • pp.28-32
    • /
    • 2003
  • In this paper, we have studied the in-situ end point detection (EPD) for direct chemical mechanical polishing (CMP) of shallow trench isolation (STI) structures without the reverse moat etch process. In this case, we applied a high selectivity $1n (HSS) that improves the silicon oxide removal rate and maximizes oxide to nitride selectivity Quite reproducible EPD results were obtained, and the wafer-to-wafer thickness variation was significantly reduced compared with the conventional predetermined polishing time method without EPD. Therefore, it is possible to achieve a global planarization without the complicated reverse moat etch process. As a result, the STI-CMP process can be simplified and improved using the new EPD method.

실시간 음성인식 다이얼링 시스템 개발 (Development of a Real-time Voice Recognition Dialing System;)

  • 이세웅;최승호;이미숙;김흥국;오광철;김기철;이황수
    • 정보와 통신
    • /
    • 제10권10호
    • /
    • pp.22-29
    • /
    • 1993
  • This paper describes development of a real-time voice recognition dialing system which can recognize around one hundred word vocabularies in speaker independent mode. The voice recognition algorithm is implemented on a DSP board with a telephone interface plugged in an IBM PC AT/486. In the DSP board, procedures for feature extraction, vector quantization(VQ), and end-point detection are performed simultaneously in every 10msec frame interval to satisfy real-time constraints after the word starting point detection. In addition, we optimize the VQ codebook size and the end-point detection procedure to reduce recognition time and memory requirement. The demonstration system is being displayed in MOBILAB of Korea Mobile Telecom at the Taejon EXPO '93.

  • PDF

EPD 신호검출에 의한 플라즈마식각공정의 이상검출 (Malfunction detection in plasma etching process using EPD signal trace)

  • 이종민;차상엽;최순혁;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 1996년도 한국자동제어학술회의논문집(국내학술편); 포항공과대학교, 포항; 24-26 Oct. 1996
    • /
    • pp.1360-1363
    • /
    • 1996
  • EPD(End Point Detection) is used to decide etching degree of layer which must be removed at wafer etching process in plasma etching process which is one of the most important process in semiconductor manufacturing. In this thesis, the method which detects malfunction of etching process in real-time will be discussed. Several EPD signal traces are collected in normal plasma etching condition and used as reference EPD signal traces. Critical points can be detected by applying differentiation and zero-crossing techniques to reference EPD signal. Mean and standard deviation of critical parameters which is memorized from reference EPD signal are calculated and these determine the lower and higher limit of control chart. And by applying statical control chart to EPD signals which are collected in real etching process malfunctions of process are detected in real-time. By means of applying this method to the real etching process we prove our method can accurately detect the malfunction of etching process and can compensate disadvantage of current industrial method.

  • PDF

90 nm급 텅스텐 폴리사이드 게이트 식각공정에서 식각종말점의 안정화에 관한 연구 (A Study for Stable End Point Detection in 90 nm WSix/poly-Si Stack-down Gate Etching Process)

  • 고용득;천희곤;이징혁
    • 한국전기전자재료학회논문지
    • /
    • 제18권3호
    • /
    • pp.206-211
    • /
    • 2005
  • The device makers want to make higher density chips on the wafer through scale-down. The change of WSix/poly-Si gate film thickness is one of the key issues under 100 nm device structure. As a new device etching process is applied, end point detection(EPD) time delay was occurred in DPS+ poly chamber of Applied Materials. This is a barrier of device shrink because EPD time delay made physical damage on the surface of gate oxide. To investigate the EPD time delay, the experimental test combined with OES(Optical Emission Spectroscopy) and SEM(Scanning Electron Microscopy) was performed using patterned wafers. As a result, a EPD delay time is reduced by a new chamber seasoning and a new wavelength line through plasma scan. Applying a new wavelength of 252 nm makes it successful to call corrected EPD in WSix/poly-Si stack-down gate etching in the DPS+ poly chamber for the current and next generation devices.

향상된 수렴 속도와 근단 화자 신호 검출능력을 갖는 적응 반향 제거기 (On Improving Convergence Speed and NET Detection Performance for Adaptive Echo Canceller)

  • 김남선
    • 한국음향학회:학술대회논문집
    • /
    • 한국음향학회 1992년도 학술논문발표회 논문집 제11권 1호
    • /
    • pp.23-28
    • /
    • 1992
  • The purpose of this paper is to develop a new adaptive echo canceller improving convergence speed and near-end-talker detection performance of the conventional echo canceller. In a conventional adaptive echo canceller, an adaptive digital filter with TDL(Tapped-Delay Line) structure modelling the echo path uses the LMS(Least Mean Square) algorithm to cote the coefficients, and NET detector using energy comparison method prevents the adaptive digital filter to update the coefficients during the periods of the NET signal presence. The convergence speed of the LMS algorithm depends on the eigenvalue spread ratio of the reference signal and NET detector using the energy comparison method yields poor detection performance if the magnitude of the NET signal is small. This paper presents a new adaptive echo canceller which uses the pre-whitening filter to improve the convergence speed of the LMS algorithm. The pre-whitening filter is realized by using a low-order lattice predictor. Also, a new NET signal detection algorithm is presented, where the start point of the NET signal is detected by computing the cross-correlation coefficient between the primary input and the ADF(Adaptive Digital Filter) output while the end point is detected by using the energy comparison method. The simulation results show that the convergence speed of the proposed adaptive echo canceller is faster than that of the conventional echo canceller and the cross-correlation coefficient yield more accurate detection of the start point of the NET signal.

  • PDF

단일 LiDAR를 활용한 End-to-End 기반 3D 모델 생성 방법 (End-to-End based 3D Model Generation Method using a Single LiDAR)

  • 곽정훈;성연식
    • 한국정보처리학회:학술대회논문집
    • /
    • 한국정보처리학회 2020년도 춘계학술발표대회
    • /
    • pp.532-533
    • /
    • 2020
  • 원격 및 가상환경에서 사용자의 동작에 따른 3D 모델을 제공하기 위해 light detection and range (LiDAR)로 측정된 3D point cloud로 사용자의 3D 모델이 생성되어 원격 및 가상환경에 사용자의 모습이 제공된다. 하지만 3D 모델을 생성하기 위해서는 사용자의 신체 전부가 측정된 3D point cloud가 필요하다. 사용자의 신체 전체를 측정하기 위해서는 적어도 두 개 이상의 LiDAR가 필요하다. 두 개 이상의 LiDAR을 사용할 경우에는 LiDAR을 사용할 공간과 LiDAR를 구비하기 위한 비용이 발생한다. 단일 LiDAR로 3D 모델을 생성하는 방법이 요구된다. 본 논문에서는 단일 LiDAR에서 측정된 3D point cloud를 이용하여 3D 모델을 생성하는 방법이 제안된다. End-to-End 기반 Convolutional Neural Network (CNN) 모델로 측정된 3D point cloud를 분석하여 사용자의 체형과 자세를 예측하도록 학습한다. 기본자세를 취하는 동안 수집된 3D point cloud로 기본이 되는 사용자의 3D 모델을 생성한다. 학습된 CNN 모델을 통하여 측정된 3D point cloud로 사용자의 자세를 예측하여 기본이 되는 3D 모델을 수정하여 3D 모델을 제공한다.

자동 치아 분할용 종단 간 시스템 개발을 위한 선결 연구: 딥러닝 기반 기준점 설정 알고리즘 (Prerequisite Research for the Development of an End-to-End System for Automatic Tooth Segmentation: A Deep Learning-Based Reference Point Setting Algorithm)

  • 서경덕;이세나;진용규;양세정
    • 대한의용생체공학회:의공학회지
    • /
    • 제44권5호
    • /
    • pp.346-353
    • /
    • 2023
  • In this paper, we propose an innovative approach that leverages deep learning to find optimal reference points for achieving precise tooth segmentation in three-dimensional tooth point cloud data. A dataset consisting of 350 aligned maxillary and mandibular cloud data was used as input, and both end coordinates of individual teeth were used as correct answers. A two-dimensional image was created by projecting the rendered point cloud data along the Z-axis, where an image of individual teeth was created using an object detection algorithm. The proposed algorithm is designed by adding various modules to the Unet model that allow effective learning of a narrow range, and detects both end points of the tooth using the generated tooth image. In the evaluation using DSC, Euclid distance, and MAE as indicators, we achieved superior performance compared to other Unet-based models. In future research, we will develop an algorithm to find the reference point of the point cloud by back-projecting the reference point detected in the image in three dimensions, and based on this, we will develop an algorithm to divide the teeth individually in the point cloud through image processing techniques.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

IEEE 802.16e OFDMA/TDD 이동국 모뎀의 링크 성능과 복잡도 최적화를 위한 부동 및 고정 소수점 설계 (Optimization of Link-level Performance and Complexity for the Floating-point and Fixed-point Designs of IEEE 802.16e OFDMA/TDD Mobile Modem)

  • 선태형;강승원;김규현;장경희
    • 대한전자공학회논문지TC
    • /
    • 제43권11호
    • /
    • pp.95-117
    • /
    • 2006
  • 본 논문에서는 IEEE 802.16e OFDMA/TDD 이동국 모뎀의 링크 성능과 복잡도 최적화를 위한 부동 및 고정 소수점 설계에 대하여 논한다. 부동 소수점 설계에서는 이동국 모뎀에서 하향링크 트래픽 채널의 채널 추정 방법을 제안하고, 모의실험을 통하여 최적의 알고리즘을 선정한다. 그리고 시간 및 주파수 동기화, Digital Front End와 CINR 추정 기법에 관하여 성능 향상과 시스템을 최적화하기 위한 알고리즘을 제안하고, 상향링크의 트래픽 채널과 제어 채널의 부동 소수점 설계 방법을 논한다. 제안된 알고리즘은 IEEE 802.16e OFDMA/TDD 시스템에 적용하여, 모의실험을 통한 성능을 Detection Probability, Mean Acqusition Time, PER 성능 그래프 등으로 그 우수성을 검증한다. 고정 소수점 설계에서는 부동 소수점 설계로부터 최적의 고정 소수점 설계를 위한 효율적인 방법론을 제시한다. 그리고 하향링크와 상향링크의 트래픽 채널, 시간 및 주파수 동기, DFE 블록을 고정 소수점 설계하고, 모의실험을 통하여 성능과 복잡도 간의 tradeoff 관계를 최적화한다.