• 제목/요약/키워드: End Point Detection (EPD)

검색결과 21건 처리시간 0.038초

플라즈마 식각공정에서의 EPD(End Point Detection) 제어기에 관한 연구 (A study on EPD(End Point Detection) controller on plasma teaching process)

  • 최순혁;차상엽;이종민;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 1996년도 한국자동제어학술회의논문집(국내학술편); 포항공과대학교, 포항; 24-26 Oct. 1996
    • /
    • pp.415-418
    • /
    • 1996
  • Etching Process, one of the most important process in semiconductor fabrication, has input control part of which components are pressure, gas flow, RF power and etc., and plasma gas which is complex and not exactly understood is used to etch wafer in etching chamber. So this process has not real-time feedback controller based on input-output relation, then it uses EPD(End Point Detection) signal to determine when to start or when to stop etching. Various type EPD controller control etching process using EPD signal obtained from optical intensity of etching chamber. In development EPD controller we concentrate on compensation of this signal intensity and setting the relative signal magnitude at first of etching. We compensate signal intensity using neural network learning method and set the relative signal magnitude using fuzzy inference method. Potential of this method which improves EPD system capability is proved by experiences.

  • PDF

EPD 신호검출에 의한 플라즈마식각공정의 이상검출 (Malfunction detection in plasma etching process using EPD signal trace)

  • 이종민;차상엽;최순혁;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 1996년도 한국자동제어학술회의논문집(국내학술편); 포항공과대학교, 포항; 24-26 Oct. 1996
    • /
    • pp.1360-1363
    • /
    • 1996
  • EPD(End Point Detection) is used to decide etching degree of layer which must be removed at wafer etching process in plasma etching process which is one of the most important process in semiconductor manufacturing. In this thesis, the method which detects malfunction of etching process in real-time will be discussed. Several EPD signal traces are collected in normal plasma etching condition and used as reference EPD signal traces. Critical points can be detected by applying differentiation and zero-crossing techniques to reference EPD signal. Mean and standard deviation of critical parameters which is memorized from reference EPD signal are calculated and these determine the lower and higher limit of control chart. And by applying statical control chart to EPD signals which are collected in real etching process malfunctions of process are detected in real-time. By means of applying this method to the real etching process we prove our method can accurately detect the malfunction of etching process and can compensate disadvantage of current industrial method.

  • PDF

Determination of End Point for Direct Chemical Mechanical Polishing of Shallow Trench Isolation Structure

  • Seo, Yong-Jin;Lee, Kyoung-Jin;Kim, Sang-Yong;Lee, Woo-Sun
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • 제3C권1호
    • /
    • pp.28-32
    • /
    • 2003
  • In this paper, we have studied the in-situ end point detection (EPD) for direct chemical mechanical polishing (CMP) of shallow trench isolation (STI) structures without the reverse moat etch process. In this case, we applied a high selectivity $1n (HSS) that improves the silicon oxide removal rate and maximizes oxide to nitride selectivity Quite reproducible EPD results were obtained, and the wafer-to-wafer thickness variation was significantly reduced compared with the conventional predetermined polishing time method without EPD. Therefore, it is possible to achieve a global planarization without the complicated reverse moat etch process. As a result, the STI-CMP process can be simplified and improved using the new EPD method.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

90 nm급 텅스텐 폴리사이드 게이트 식각공정에서 식각종말점의 안정화에 관한 연구 (A Study for Stable End Point Detection in 90 nm WSix/poly-Si Stack-down Gate Etching Process)

  • 고용득;천희곤;이징혁
    • 한국전기전자재료학회논문지
    • /
    • 제18권3호
    • /
    • pp.206-211
    • /
    • 2005
  • The device makers want to make higher density chips on the wafer through scale-down. The change of WSix/poly-Si gate film thickness is one of the key issues under 100 nm device structure. As a new device etching process is applied, end point detection(EPD) time delay was occurred in DPS+ poly chamber of Applied Materials. This is a barrier of device shrink because EPD time delay made physical damage on the surface of gate oxide. To investigate the EPD time delay, the experimental test combined with OES(Optical Emission Spectroscopy) and SEM(Scanning Electron Microscopy) was performed using patterned wafers. As a result, a EPD delay time is reduced by a new chamber seasoning and a new wavelength line through plasma scan. Applying a new wavelength of 252 nm makes it successful to call corrected EPD in WSix/poly-Si stack-down gate etching in the DPS+ poly chamber for the current and next generation devices.

Signal Analysis of Motor Current for End Point Detection in the Chemical Mechanical Polishing of Shallow Trench Isolation with Reverse Moat Structure

  • Park, Chang-Jun;Kim, Sang-Yong;Seo, Yong-Jin
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • 제2C권5호
    • /
    • pp.262-267
    • /
    • 2002
  • In this paper, we first studied the factors affecting the motor current (MC) signal, which was strongly affected by the systematic hardware noises depending on polishing such as pad conditioning and arm oscillation of platen and recipe, head motor. Next, we studied the end point detection (EPD) for the chemical mechanical polishing (CMP) process of shallow trench isolation (STI) with reverse moat structure. The MC signal showed a high amplitude peak in the fore part caused by the reverse meal. pattern. We also found that the EP could not be detected properly and reproducibly due to the pad conditioning effect, especially when conventional low selectivity slurry was used. Even when there was no pad conditioning effect, the EPD method could not be applied, since the measured end points were always the same due to the characteristics of the reverse moat structure with an open nitride layer.

EPD time delay in etching of stack down WSix gate in DPS+ poly chamber

  • Ko, Yong Deuk;Chun, Hui-Gon
    • 한국반도체및디스플레이장비학회:학술대회논문집
    • /
    • 한국반도체및디스플레이장비학회 2002년도 추계학술대회 발표 논문집
    • /
    • pp.130-136
    • /
    • 2002
  • Device makers want to make higher density chips as devices shrink, especially WSix poly stack down is one of the key issues. However, EPD (End Point Detection) time delay was happened in DPS+ poly chamber which is a barrier to achieve device shrink because EPD time delay killed test pattern and next generation device. To investigate the EPD time delay, a test was done with patterned wafers. This experimental was carried out combined with OES(Optical Emission Spectroscopy) and SEM (Scanning Electron Microscopy). OES was used to find corrected wavelength in WSix stack down gate etching. SEM was used to confirm WSix gate profile and gate oxide damage. Through the experiment, a new wavelength (252nm) line of plasma is selected for DPS+ chamber to call correct EPD in WSix stack down gate etching for current device and next generation device.

  • PDF

EPD 신호궤적을 이용한 개별 웨이퍼간 이상검출에 관한 연구 (A Study on Wafer to Wafer Malfunction Detection using End Point Detection(EPD) Signal)

  • 이석주;차상엽;최순혁;고택범;우광방
    • 제어로봇시스템학회논문지
    • /
    • 제4권4호
    • /
    • pp.506-516
    • /
    • 1998
  • In this paper, an algorithm is proposed to detect the malfunction of plasma-etching characteristics using EPD signal trajectories. EPD signal trajectories offer many information on plasma-etching process state, so they must be considered as the most important data sets to predict the wafer states in plasma-etching process. A recent work has shown that EPD signal trajectories were successfully incorporated into process modeling through critical parameter extraction, but this method consumes much effort and time. So Principal component analysis(PCA) can be applied. PCA is the linear transformation algorithm which converts correlated high-dimensional data sets to uncorrelated low-dimensional data sets. Based on this reason neural network model can improve its performance and convergence speed when it uses the features which are extracted from raw EPD signals by PCA. Wafer-state variables, Critical Dimension(CD) and uniformity can be estimated by simulation using neural network model into which EPD signals are incorporated. After CD and uniformity values are predicted, proposed algorithm determines whether malfunction values are produced or not. If malfunction values arise, the etching process is stopped immediately. As a result, through simulation, we can keep the abnormal state of etching process from propagating into the next run. All the procedures of this algorithm can be performed on-line, i.e. wafer to wafer.

  • PDF

HSS을 적용한 STI CMP 공정에서 EPD 특성 (A study of EPD for Shallow Trench Isolation CMP by HSS Application)

  • 김상용;김용식
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2000년도 춘계학술대회 논문집 전자세라믹스 센서 및 박막재료 반도체재료 일렉트렛트 및 응용기술
    • /
    • pp.35-38
    • /
    • 2000
  • In this study, the rise throughput and the stability in fabrication of device can be obtained by applying of CMP process to STI structure in 0.l8um semiconductor device. Through reverse moat pattern process, reduced moat density at high moat density, STI CMP process with low selectivity could be to fit polish uniformity between low moat density and high moat density. Because this reason, in-situ motor current end point detection method is not fit to the current EPD technology with the reverse moat pattern. But we use HSS without reverse moat pattern on STI CMP and take end point current sensing signal.[1] To analyze sensing signal and test extracted signal, we can to adjust wafer difference within $110{\AA}$.

  • PDF

PECVD Chamber Cleaning End Point Detection (EPD) Using Optical Emission Spectroscopy Data

  • Lee, Ho Jae;Seo, Dongsun;Hong, Sang Jeen;May, Gary S.
    • Transactions on Electrical and Electronic Materials
    • /
    • 제14권5호
    • /
    • pp.254-257
    • /
    • 2013
  • In-situ optical emission spectroscopy (OES) is employed for PECVD chamber monitoring. OES is used as an addon sensor to monitoring and cleaning end point detection (EPD). On monitoring plasma chemistry using OES, the process gas and by-product gas are simultaneously monitored. Principal component analysis (PCA) enhances the capability of end point detection using OES data. Through chamber cleaning monitoring using OES, cleaning time is reduced by 53%, in general. Therefore, the gas usage of fluorine is also reduced, so satisfying Green Fab challenge in semiconductor manufacturing.