• Title/Summary/Keyword: EUV pellicle

Search Result 5, Processing Time 0.019 seconds

Imaging Performance of the Dependence of EUV Pellicle Transmittance (EUV 펠리클 투과도에 따른 이미지 전사 특성 분석)

  • Woo, Dong Gon;Kim, Jung Hwan;Kim, Jung Sik;Hong, Seoungchul;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.3
    • /
    • pp.35-39
    • /
    • 2016
  • Extreme Ultraviolet Lithography (EUVL) is the most promising technique in the field of Next Generation Lithography (NGL) expected to be used in the 1x-nm node for High Volume Manufacturing (HVM). But there exits remaining challenges for proper defect control of EUV mask. It was considered development of EUV pellicle for protecting the EUV mask has many obstacles due to high extinction coefficient of EUV wavelength. Recently researchers in the industry of semiconductor argue about the necessity of EUV pellicle and make effort to achieve it. In this paper, we investigated that the relationship between imaging performance and transmittance of EUV pellicle quantitatively. We made in-house EUV pellicle and analyzed its imaging performance of the dependence of pellicle transmittance using Coherent Scattering Microscopy(CSM). The imaging performance of EUV mask with pellicle is affected by its transmittance and we found that the performance of EUV mask improved with higher transmittance pellicle.

Manufacturing Large-scale SiNx EUV Pellicle with Water Bath (물중탕을 이용한 대면적 SiNx EUV 펠리클 제작)

  • Kim, Jung Hwan;Hong, Seongchul;Cho, Hanku;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.1
    • /
    • pp.17-21
    • /
    • 2016
  • EUV (Extreme Ultraviolet) pellicle which protects a mask from contamination became a critical issue for the application of EUV lithography to high-volume manufacturing. However, researches of EUV pellicle are still delayed due to no typical manufacturing methods for large-scale EUV pellicle. In this study, EUV pellicle membrane manufacturing method using not only KOH (potassium hydroxide) wet etching process but also a water bath was suggested for uniform etchant temperature distribution. KOH wet etching rates according to KOH solution concentration and solution temperature were confirmed and proper etch condition was selected. After KOH wet etching condition was set, $5cm{\times}5cm$ SiNx (silicon nitride) pellicle membrane with 80% EUV transmittance was successfully manufactured. Transmittance results showed the feasibility of wet etching method with water bath as a large-scale EUV pellicle manufacturing method.

Evaluation on the Relationship between Mask Imaging Performance and Standoff Distance of EUV Pellicle (EUV pellicle의 standoff 거리에 따른 이미지 전사 특성 평가)

  • Woo, Dong Gon;Hong, Seongchul;Kim, Jung Sik;Cho, Hanku;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.1
    • /
    • pp.22-26
    • /
    • 2016
  • Extreme ultraviolet (EUV) pellicle is one of the most concerned research in the field of EUV lithography (EUVL). Imaging performance of EUV mask with pellicle should be investigated prior to high volume manufacturing (HVM) of EUVL. In this paper, we analyzed the relationship between standoff distance and imaging performance of EUV mask to verify the influences of relative standoff distance on imaging performance. As a result, standoff distance of EUV pellicle has no effect on imaging performance of EUV mask such as critical dimension (CD), normalized image log slope (NILS) and image contrast. Therefore, pellicle support structure can be flexibly designed and modified in diverse ways to complement the thermal limitation of EUV pellicle membrane.

Manufacturing SiNx Extreme Ultraviolet Pellicle with HF Wet Etching Process (HF 습식 식각을 이용한 극자외선 노광 기술용 SiNx)

  • Kim, Ji Eun;Kim, Jung Hwan;Hong, Seongchul;Cho, HanKu;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.14 no.3
    • /
    • pp.7-11
    • /
    • 2015
  • In order to protect the patterned mask from contamination during lithography process, pellicle has become a critical component for Extreme Ultraviolet (EUV) lithography technology. According to EUV pellicle requirements, the pellicle should have high EUV transmittance and robust mechanical property. In this study, silicon nitride, which is well-known for its remarkable mechanical property, was used as a pellicle membrane material to achieve high EUV transmittance. Since long silicon wet etching process time aggravates notching effect causing stress concentration on the edge or corner of etched structure, the remaining membrane is prone to fracture at the end of etch process. To overcome this notching effect and attain high transmittance, we began preparing a rather thick (200 nm) $SiN_x$ membrane which can be stably manufactured and was thinned into 43 nm thickness with HF wet etching process. The measured EUV transmittance shows similar values to the simulated result. Therefore, the result shows possibilities of HF thinning processes for $SiN_x$ EUV pellicle fabrication.

Mechanical Stability Evaluation of Thin Film with Spin-coater (스핀코터를 이용한 박막의 기계적 안정성 평가)

  • Kim, Ji Eun;Kim, Jung Hwan;Hong, Seongchul;Cho, HanKu;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.1
    • /
    • pp.6-11
    • /
    • 2016
  • For high volume manufacturing using extreme ultraviolet (EUV) lithography, mask protection from contamination during lithography process must be solved, and EUV pellicle is the strongest solution. Based on the technical requirements of EUV pellicle, EUV pellicle should have large membrane area ($110{\times}140mm^2$) with film transmittance over 90% and mechanical stability. Even though pellicle that satisfies size standard with high transmittance has been reported, its mechanical stability has not been confirmed, nor is there a standard to evaluate the mechanical stability. In this study, we suggest a rather simple method evaluating mechanical stability of pellicle membrane using spin-coater which can emulate the linear accelerated motion. The test conditions were designed by simulating the acceleration distribution inside pellicle membrane through correlating the linear acceleration and centripetal acceleration, which occurs during linear movement and rotation movement, respectively. By these simulation results, we confirmed the possibility of using spin-coater to evaluate the mechanical stability of EUV pellicle.