• 제목/요약/키워드: Double mask

검색결과 43건 처리시간 0.023초

Efficient and Low-Cost Metal Revision Techniques for Post Silicon Repair

  • Lee, Sungchul;Shin, Hyunchul
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제14권3호
    • /
    • pp.322-330
    • /
    • 2014
  • New effective techniques to repair "small" design errors in integrated circuits are presented. As semiconductor chip complexity increases and the design period becomes tight, errors frequently remain in a fabricated chip making revisions required. Full mask revision significantly increases the cost and time-to-market. However, since many "small" errors can be repaired by modifying several connections among the circuit blocks and spare cells, errors can frequently be repaired by revising metal layers. Metal only revision takes significantly less time and involves less cost when compared to full mask revision, since mask revision costs multi-million dollars while metal revision costs tens of thousand dollars. In our research, new techniques are developed to further reduce the number of metal layers to be revised. Specifically, we partition the circuit blocks with higher error probabilities and extend the terminals of the signals crossing the partition boundaries to the preselected metal repair layers. Our partitioning and pin extension to repair layers can significantly improve the repairability by revising only the metal repair layers. Since pin extension may increase delay slightly, this method can be used for non-timing-critical parts of circuits. Experimental results by using academia and industrial circuits show that the revision of the two metal layers can repair many "small" errors at low-cost and with short revision time. On the average, when 11.64% of the spare cell area and 24.72% of the extended pins are added to the original circuits, 83.74% of the single errors (and 72.22% of the double errors) can be corrected by using two metal revision. We also suggest methods to use our repair techniques with normal commercial vender tools.

대용량 MTP IP 설계 (Design of a Large-density MTP IP)

  • 김영희;하윤규;김홍주;김수진;김승국;정인철;하판봉;박승엽
    • 전기전자학회논문지
    • /
    • 제24권1호
    • /
    • pp.161-169
    • /
    • 2020
  • 무선충전기, USB 타입-C 등의 응용에 사용되는 MCU 칩은 제조 원가를 줄이기 위해 3~5개의 추가 공정 마스크가 필요한 DP-EEPROM(Double Poly EEPROM)보다는 추가 마스크가 한 장 이내이면서 메모리 셀 사이즈가 작은 MTP(Multi-Time Programmable) 메모리가 요구된다. 그리고 E/P(Erase/Program) cycling에 따른 MTP 메모리 셀의 endurance 특성과 데이터 retention 특성을 좋게 하기 위해서 VTP(Program Threshold Voltage)와 VTE(Erase Threshold Voltage)의 산포는 좁은 것이 필요하다. 그래서 본 논문에서는 short pulse의 erase와 program pulse를 여러 번 수행하면서 목표 전류와 비교한 뒤 전류스펙을 만족하면 더 이상 program이나 erase 동작을 수행하지 않게 하므로 program VT 산포나 erase VT 산포를 줄이는 알고리즘과 current-type BL S/A(Bit-Line Sense Amplifier) 회로, WM(Write Mask) 회로, BLD(BL Driver) 회로를 제안하였다. 매그나칩반도체 0.13㎛ 공정으로 제작된 256Kb MTP 메모리 웨이퍼에서 동작 모드에 맞게 정상적으로 동작하는 것을 확인할 수 있다.

차세대 노광공정용 Ta박막의 $0.2\mu\textrm{m}$ 미세패턴 식각특성 연구 (Study on the Etching Characteristics of $0.2\mu\textrm{m}$ fine Pattern of Ta Thin film for Next Generation Lithography Mask)

  • 우상균;김상훈;주섭열;안진호
    • 한국재료학회지
    • /
    • 제10권12호
    • /
    • pp.819-824
    • /
    • 2000
  • 본 연구에서는 Electron Cyclotron Resonance plasma etching system 을 이용한 Ta 박막의 미세 식각 특성을 연구하였다. 염소 plasma를 사용하여 microwave power, RF Power, working pressure, gas chemistry 등의 변화에 따른 식각 profile의 영향을 조사하였고, pattern density가 증가함에 따라 발생하는 microloading 현상을 $0.2{\mu\textrm{m}}$ 이하의 패턴에서 확인 하였다. 이를 개선하기 위하여 식각 과정을 두 단계로 분리하는 2단계 식각 공정을 수행하였으며 이를 통해 우수한 식각 profile을 얻을 수 있었다.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

ASG(Amorphous Silicon TFT Gate driver circuit) Technology for Mobile TFT-LCD Panel

  • Jeon, Jin;Lee, Won-Kyu;Song, Jun-Ho;Kim, Hyung-Guel
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2004년도 Asia Display / IMID 04
    • /
    • pp.395-398
    • /
    • 2004
  • We developed an a-Si TFT-LCD panel with integrated gate driver circuit using a standard 5-MASK process. To minimize the effect of the a-Si TFT current and LC's capacitance variation with temperature, we developed a new a-Si TFT circuit structure and minimized coupling capacitance by changing vertical architecture above gate driver circuit. Integration of gate driver circuit on glass substrate enables single chip and 3-side free panel structure in a-Si TFT-LCD of QVGA(240$^{\ast}$320) resolution. And using double ASG structure the dead space of TFT-LCD panel could be further decreased.

  • PDF

Fabrication of Sampled Fiber Grating and Measurement of Its Characteristics

  • Jung, Jae-Hoon;You, Bong-An;Lee, Byoung-Ho
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1998년도 추계종합학술대회 논문집
    • /
    • pp.981-982
    • /
    • 1998
  • We fabricated sampled fiber grating by double-exposure method. First, a short-period grating was written into the hydrogen-loaded single mode fiber and then the refractive index was modulated over it by an amplitude mask. It was observed that several transmission dips appear due to the index modulation. The thermal and strain responses were measured over $40-180^{\circ}C$ and $0-1800\mu\varepsilon,$ respectively. The dips have the same and linear sensitivity to both physical quantities over the range of measurement.

  • PDF

Multi-Protocol/Multi-Standard 지원 UHF RFID 휴대용 리더 시스템 (UHF RFID Hand-Held Transceiver System with Multi-protocol and Multi-Standard supplements)

  • 박경태;노형환;박준석
    • 한국정보통신설비학회:학술대회논문집
    • /
    • 한국정보통신설비학회 2007년도 학술대회
    • /
    • pp.147-150
    • /
    • 2007
  • This paper presents an advanced RFID reader system implementing multi-protocols and multi-standards at 900MHz. In accordance with the strict regulations specified by ISO 18000-6 B-Type and EPC Global Gen 2, we have designed corresponding systemic factors which meet the domestic radio frequency utilizing bands of 910-914MHz. In addition, we develop numerous crucial factors of system compatibility options including SSB (Single-Side Band) and DSB (Double-Side Band) specifications, also OOK (On-Off Keying), ASK (Amplitude Shift Keying) and PR-ASK (Phase Reversed-Amplitude Shift Keying) modulation formula. Remarkable technical features of system in this paper can be the direct conversion routines using I/Q Modulation/Demodulation respectively, and Full-Duplex formulation operating at identical frequency bands.

  • PDF

ZnO 바리스터의 단입계면 분석을 위한 마이크로 전극 제작과 전기적 특성 해석 (The Fabrication of Micro-electrodes to Analyze the Single-grainboundary of ZnO Varistors and the Analysis of Electrical Properties)

  • 소순진;임근영;박춘배
    • 한국전기전자재료학회논문지
    • /
    • 제18권3호
    • /
    • pp.231-236
    • /
    • 2005
  • To investigate the electrical properties at the single grainboundary of ZnO varistors, micro-electrodes were fabricated on the surface which was polished and thermally etched. Our micro-electrode had 2000 $\AA$ silicon nitride layer between micro-electrode and ZnO surface. This layer was deposited by PECVD and etched by RIE after photoresistor pattering process using by mask 1. The metal patterning of micro-electrodes used lift-off method. We found that the breakdown voltage of single grainboundary is about 3.5∼4.2 V at 0.1 mA on I-V curves. Also, capacitance-voltage measurement at single grainboundary gave several parameters( $N_{d}$, $N_{t}$, $\Phi$$_{b}$, t) which were related with grainboundary.ary.

A Fully Integrated Thin-Film Inductor and Its Application to a DC-DC Converter

  • Park, Il-Yong;Kim, Sang-Gi;Koo, Jin-Gun;Roh, Tae-Moon;Lee, Dae-Woo;Yang, Yil-Suk;Kim, Jung-Dae
    • ETRI Journal
    • /
    • 제25권4호
    • /
    • pp.270-273
    • /
    • 2003
  • This paper presents a simple process to integrate thin-film inductors with a bottom NiFe magnetic core. NiFe thin films with a thickness of 2 to 3${\mu}m$ were deposited by sputtering. A polyimide buffer layer and shadow mask were used to relax the stress of the NiFe films. The fabricated double spiral thin-film inductor showed an inductance of 0.49${\mu}H$ and a Q factor of 4.8 at 8 MHz. The DC-DC converter with the monolithically integrated thin-film inductor showed comparable performances to those with sandwiched magnetic layers. We simplified the integration process by eliminating the planarization process for the top magnetic core. The efficiency of the DC-DC converter with the monolithic thin-film inductor was 72% when the input voltage and output voltage were 3.5 V and 6 V, respectively, at an operating frequency of 8 MHz.

  • PDF

특징점 추출에 의한 한글 문자 인식 및 전처리용 신경 칩의 설계 (Korean Character Recognition by the Extraction of Feature Points and Neural Chip Design for its Preprocessing)

  • 김종렬;정호선;이우일
    • 대한전자공학회논문지
    • /
    • 제27권6호
    • /
    • pp.929-936
    • /
    • 1990
  • This paper describes the method of the Korean character recognition by means of feature points extraction. Also, the preprocessing neural chip for noise elimination, smoothing, thinning and feature point extraction has been designs. The subpatterns were separated by means of advanced index algorithm using mask, and recognized by means of feature points classification. The separation of the Korean character subpatterns was abtained about 97%, and the recognition of the Korean characters was abtained about 95%. The preprocessing neural chip was simulated on SPICE and layouted by double CMOS 2\ulcorner design rule.

  • PDF