• Title/Summary/Keyword: Deep Etching

Search Result 134, Processing Time 0.041 seconds

The Fabrication of SOB SOI Structures with Buried Cavity for Bulk Micro Machining Applications

  • Kim, Jae-Min;Lee, Jong-Chun;Chung, Gwiy-Sang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.07b
    • /
    • pp.739-742
    • /
    • 2002
  • This paper described on the fabrication of microstructures by DRIE(deep reactive ion etching). SOI(Si-on-insulator) electric devices with buried cavities are fabricated by SDB technology and electrochemical etch-stop. The cavity was fabricated the upper handling wafer by Si anisotropic etch technique. SDB process was performed to seal the fabricated cavity under vacuum condition at -760 mmHg. In the SDB process, captured air and moisture inside of the cavities were removed by making channels towards outside. After annealing($1000^{\circ}C$, 60 min.), The SDB SOI structure was thinned by electrochemical etch-stop. Finally, it was fabricated microstructures by DRIE as well as an accurate thickness control and a good flatness.

  • PDF

A Study on plasma etching for PCR manufacturing (PCR 장치를 위한 플라즈마 식각에 관한 연구)

  • Kim, Jinhyun;Ryoo, Kunkul;Lee, Jongkwon;Lee, Yoonbae;Lee, Miyoung
    • Clean Technology
    • /
    • v.9 no.3
    • /
    • pp.101-105
    • /
    • 2003
  • Plasma etching technology has been developed since it is recognized that silicon etching is very crucial in MEMS(Micro Electro Mechanical System) technology. In this study ICP(Inductive Coupled Plasma) technology was used as a new plasma etching to increase ion density without increasing ion energy, and to maintain the etching directions. This plasma etching can be used for many MEMS applications, but it has been used for PCR(Polymerase Chain Reaction) device fabrication. Platen power, Coil power and process pressure were parameters for observing the etching rate changes. Conclusively Platen power 12W, Coil power 500W, etchng/passivation cycle 6/7sec gives the etching rate of $1.2{\mu}m/min$ and sidewall profile of $90{\pm}0.7^{\circ}$, exclusively. It was concluded from this study that it was possible to minimize the environmental effect by optimizing the etching process using SF6 gas.

  • PDF

Silicon trench etching using inductively coupled Cl2/O2 and Cl2/N2 plasmas

  • Kim, Hyeon-Soo;Lee, Young-Jun;Young, Yeom-Geun
    • Journal of Korean Vacuum Science & Technology
    • /
    • v.2 no.2
    • /
    • pp.122-132
    • /
    • 1998
  • Characteristics of inductively coupled Cl2/O2 and Cl2/N2 plasmas and their effects on the formation of submicron deep trench etching of single crystal silicon have been investigated using Langmuir probe, quadrupole mass spectrometer (QMS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM), Also, when silicon is etched with oxygen added chlorine plasmas, etch products recombined with oxygen such as SiClxOy emerged and Si-O bondings were found on the etched silicon surface. However, when nitrogen is added to chlorine, no etch products recombined with nitrogen nor Si-N bondings were found on the etched silicon surface. When deep silicon trenches were teached, the characteristics of Cl2/O2 and Cl2/N2 plasmas changed the thickness of the sidewall residue (passivation layer) and the etch profile. Vertical deep submicron trench profiles having the aspect ratio higher than 5 could be obtained by controlling the thickness of the residue formed on the trench sidewall using Cl2(O2/N2) plasmas.

  • PDF

The Development of Cl-Plasma Etching Procedure for Si and SiO$_2$

  • Kim, Jong-Woo;Jung, Mi-Young;Park, Sung-Soo;Boo, Jin-Hyo
    • Journal of the Korean institute of surface engineering
    • /
    • v.34 no.5
    • /
    • pp.516-521
    • /
    • 2001
  • Dry etching of Si wafer and $SiO_2$ layers was performed using He/Cl$_2$ mixture plasma by diode-type reactive ion etcher (RIE) system. For Si etching, the Cl molecules react with the Si molecules on the surface and become chemically stable, indicating that the reactants need energetic ion bombardment. During the ion assisted desorption, energetic ions would damage the photoresist (PR) and produce the bad etch Si-profile. Moreover, we have examined the characteristics of the Cl-Si reaction system, and developed the new fabrication procedures with a $Cl_2$/He mixture for Si and $SiO_2$-etching. The developed novel fabrication procedure allows the RIE to be unexpensive and useful a Si deep etching system. Since the etch rate was proved to increase linearly with fHe and the selectivity of Si to $SiO_2$ etch rate was observed to be inversely proportional to fHe.

  • PDF

미세피치의 Probe Unit용 Slit Etching 고정 및 특성 연구

  • Kim, Jin-Hyeok;Sin, Gwang-Su;Kim, Seon-Hun;Go, Hang-Ju;Kim, Hyo-Jin;Song, Min-Jong;Han, Myeong-Su
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2010.06a
    • /
    • pp.177-177
    • /
    • 2010
  • 본 연구에서는 반도체용 Si wafer에 마스크 공정 및 slit etching 공정을 적용하여 목표인 30um 이하의 Probe unit을 개발하기 위해 Deep Si Etching(DRIE) 장비를 이용하여 식각 공정에 따른 특성을 평가하였다. 마스크는 Probe block 조립에 적합한 패턴으로 설계 하였으며, slit의 에칭된 지점에 pin이 삽입될 수 있도록 그 폭을 최소한으로 설계하였다. 30um pitch와 20um pitch의 마스크를 각각 설계하여 포토공정에 의해 마스크패턴을 제작하였으며, 식각공정 결과 식각율 5um/min, profile angle $89^{\circ}{\pm}1^{\circ}$로 400um wafer의 양면관통 식각을 확인하였으며, 표면 및 단면 식각특성을 조사하였다.

  • PDF

Fabrication of Colloid Thrusters using MEMS Technology

  • Park, Kun Joong;Song, Seung Jin;Sanchez, Manuel Martinez
    • Proceedings of the Korean Society of Propulsion Engineers Conference
    • /
    • 2004.03a
    • /
    • pp.588-592
    • /
    • 2004
  • This paper presents the preliminary fabrication results of colloid thrusters which can provide thrust of the order of micro to milli-Newtons. MEMS technology has been used for fabrication, and four essential fabrication techniques - deep etching with nested masks, isotropic plasma etching, anisotropic reactive ion etching, and direct fusion wafer bonding - have been newly developed. Among diverse models which have been designed and fabricated, the fabrication results of 4-inch wafer-based colloid thrusters are presented.

  • PDF

The Effect of Mask Patterns on Microwire Formation in p-type Silicon (P-형 실리콘에서 마이크로 와이어 형성에 미치는 마스크 패턴의 영향)

  • Kim, Jae-Hyun;Kim, Kang-Pil;Lyu, Hong-Kun;Woo, Sung-Ho;Seo, Hong-Seok;Lee, Jung-Ho
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.418-418
    • /
    • 2008
  • The electrochemical etching of silicon in HF-based solutions is known to form various types of porous structures. Porous structures are generally classified into three categories according to pore sizes: micropore (below 2 nm in size), mesopore (2 ~ 50 nm), and macropore (above 50 nm). Recently, the formation of macropores has attracted increasing interest because of their promising characteristics for an wide scope of applications such as microelectromechanical systems (MEMS), chemical sensors, biotechnology, photonic crystals, and photovoltaic application. One of the promising applications of macropores is in the field of MEMS. Anisotropic etching is essential step for fabrication of MEMS. Conventional wet etching has advantages such as low processing cost and high throughput, but it is unsuitable to fabricate high-aspect-ratio structures with vertical sidewalls due to its inherent etching characteristics along certain crystal orientations. Reactive ion dry etching is another technique of anisotropic etching. This has excellent ability to fabricate high-aspect-ratio structures with vertical sidewalls and high accuracy. However, its high processing cost is one of the bottlenecks for widely successful commercialization of MEMS. In contrast, by using electrochemical etching method together with pre-patterning by lithographic step, regular macropore arrays with very high-aspect-ratio up to 250 can be obtained. The formed macropores have very smooth surface and side, unlike deep reactive ion etching where surfaces are damaged and wavy. Especially, to make vertical microwire or nanowire arrays (aspect ratio = over 1:100) on silicon wafer with top-down photolithography, it is very difficult to fabricate them with conventional dry etching. The electrochemical etching is the most proper candidate to do it. The pillar structures are demonstrated for n-type silicon and the formation mechanism is well explained, while such a experimental results are few for p-type silicon. In this report, In order to understand the roles played by the kinds of etching solution and mask patterns in the formation of microwire arrays, we have undertaken a systematic study of the solvent effects in mixtures of HF, dimethyl sulfoxide (DMSO), iso-propanol, and mixtures of HF with water on the structure formation on monocrystalline p-type silicon with a resistivity with 10 ~ 20 $\Omega{\cdot}cm$. The different morphological results are presented according to mask patterns and etching solutions.

  • PDF

Design and Fabrication of a Micro-Heat Pipe with High-Aspect-Ratio Microchannels (고세장비 미세채널 기반의 마이크로 히트파이프 설계 및 제조)

  • Oh, Kwang-Hwan;Lee, Min-Kyu;Jeong, Sung-Ho
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.23 no.9 s.186
    • /
    • pp.164-173
    • /
    • 2006
  • The cooling capacity of a micro-heat pipe is mainly governed by the magnitude of capillary pressure induced in the wick structure. For microchannel wicks, a higher capillary pressure is achievable for narrower and deeper channels. In this study, a metallic micro-heat pipe adopting high-aspect-ratio microchannel wicks is fabricated. Micromachining of high-aspect-ratio microchannels is done using the laser-induced wet etching technique in which a focused laser beam irradiates the workpiece placed in a liquid etchant along a desired channel pattern. Because of the direct writing characteristic of the laser-induced wet etching method, no mask is necessary and the fabrication procedure is relatively simple. Deep microchannels of an aspect ratio close to 10 can be readily fabricated with little heat damage of the workpiece. The laser-induced wet etching process for the fabrication of high-aspect-ratio microchannels in 0.5mm thick stainless steel foil is presented in detail. The shape and size variations of microchannels with respect to the process variables, such as laser power, scanning speed, number of scans, and etchant concentration are closely examined. Also, the fabrication of a flat micro-heat pipe based on the high-aspect-ratio microchannels is demonstrated.

A Reproducible High Etch Rate ICP Process for Etching of Via-Hole Grounds in 200μm Thick GaAs MMICs

  • Rawal, D.S.;Agarwal, Vanita R.;Sharma, H.S.;Sehgal, B.K.;Muralidharan, R.
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.8 no.3
    • /
    • pp.244-250
    • /
    • 2008
  • An inductively coupled plasma etching process to replace an existing slower rate reactive ion etching process for $60{\mu}m$ diameter via-holes using Cl2/BCl3 gases has been investigated. Process pressure and platen power were varied at a constant ICP coil power to reproduce the RIE etched $200{\mu}m$ deep via profile, at high etch rate. Desired etch profile was obtained at 40 m Torr pressure, 950 W coil power, 90W platen power with an etch rate ${\sim}4{\mu}m$/min and via etch yield >90% over a 3-inch wafer, using $24{\mu}m$ thick photoresist mask. The etch uniformity and reproducibility obtained for the process were better than 4%. The metallized via-hole dc resistance measured was ${\sim}0.5{\Omega}$ and via inductance value measured was $\sim$83 pH.