• 제목/요약/키워드: Cu CMP

Search Result 132, Processing Time 0.027 seconds

Analysis of Acoustic Emission Signal Sensitivity to Variations in Thin-film Material Properties During CMP Process (CMP 공정중 박막 종류에 따른 AE 신호 분석)

  • Park, Sun Joon;Lee, Hyun Seop;Jeong, Hae Do
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.38 no.8
    • /
    • pp.863-867
    • /
    • 2014
  • In this study, an acoustic emission (AE) sensor was used for measuring the abrasive and molecular-scale phenomena in chemical mechanical polishing (CMP). An AE sensor is a transducer that converts a mechanical wave into an electrical signal, and is capable of acquiring high-level frequencies from materials. Therefore, an AE sensor was installed in the CMP equipment and the signals were measured simultaneously during the polishing process. In this study, an AE monitoring system was developed for investigating the sensitivity of the AE signal to (a) the variations in the material properties of the pad, slurry, and wafer and (b) the change in conditions during the CMP process. This system was adapted to Oxide and Cu CMP processes. AE signal parameters including AE raw frequency, FFT, and amplitude were analyzed for understanding the abrasive and molecular-level phenomena in the CMP process. Finally, we verified that AE sensors with different bandwidths could function in complementary ways during CMP process monitoring.

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

  • Lin, Paul-Chang;Xu, Jin-Hai;Lu, Hong-Liang;Zhang, David Wei;Li, Pei
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.17 no.3
    • /
    • pp.319-325
    • /
    • 2017
  • Through silicon via (TSV) technology is extensively used in 3D IC integrations. The special structure of the TSV is realized by CMP (Chemically Mechanical Polishing) process with a high Cu removal rate and, low dishing, yielding fine topography without defects. In this study, we investigated the electrochemical behavior of copper slurries with various inhibitors in the Cu CMP process for advanced TSV applications. One of the slurries was carried out for the most promising process with a high removal rate (${\sim}18000{\AA}/Min$ @ 3 psi) and low dishing (${\sim}800{\AA}$), providing good microstructure. The effects of pH value and $H_2O_2$ concentration on the slurry corrosion potential and Cu static etching rate (SER) were also examined. The slurry formula with a pH of 6 and 2% $H_2O_2$, hadthe lowest SER (${\sim}75{\AA}/Min$) and was the best for TSV CMP. A novel Cu TSV CMP process was developed with two CMPs and an additional annealing step after some of the bulk Cu had been removed, effectively improving the condition of the TSV Cu surface and preventing the formation of crack defects by variations in wafer stress during TSV process integration.

Planarizaiton of Cu Interconnect using ECMP Process (전기화학 기계적 연마를 이용한 Cu 배선의 평탄화)

  • Jeong, Suk-Hoon;Seo, Heon-Deok;Park, Boum-Young;Park, Jae-Hong;Jeong, Hae-Do
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.3
    • /
    • pp.213-217
    • /
    • 2007
  • Copper has been used as an interconnect material in the fabrication of semiconductor devices, because of its higher electrical conductivity and superior electro-migration resistance. Chemical mechanical polishing(CMP) technique is required to planarize the overburden Cu film in an interconnect process. Various problems such as dishing, erosion, and delamination are caused by the high pressure and chemical effects in the Cu CMP process. But these problems have to be solved for the fabrication of the next generation semiconductor devices. Therefore, new process which is electro-chemical mechanical polishing(ECMP) or electro-chemical mechanical planarization was introduced to solve the technical difficulties and problems in CMP process. In the ECMP process, Cu ions are dissolved electrochemically by the applying an anodic potential energy on the Cu surface in an electrolyte. And then, Cu complex layer are mechanically removed by the mechanical effects between pad and abrasive. This paper focuses on the manufacturing of ECMP system and its process. ECMP equipment which has better performance and stability was manufactured for the planarization process.

The effect of buffing on particle removal in Post-Cu CMP cleaning (Post-Cu CMP cleaning에서 연마입자 제거에 buffing 공정이 미치는 영향)

  • Kim, Young-Min;Cho, Han-Chul;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.537-537
    • /
    • 2008
  • Copper (Cu) has been widely used for interconnection structure in intergrated circuits because of its properties such as a low resistance and high resistance to electromigration compared with aluminuim. Damascene processing for the interconnection structure utilizes 2-steps chemical mechanical polishing(CMP). After polishing, the removal of abrasive particles on the surfaces becomes as important as the polishing process. In the paper, buffing process for the removal of colloidal silica from polished Cu wafer was proposed and demonstrated.

  • PDF

Effects of Oxidizer Additive on the Performance of Copper-Chemical Mechanical Polishing using Tungsten Slurry (텅스텐 슬러리를 사용한 Cu-CMP 특성에서 산화제 첨가의 영향)

  • 이우선;최권우;이영식;최연옥;오용택;서용진
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.2
    • /
    • pp.156-161
    • /
    • 2004
  • We investigated the effects of oxidizer additive on the performance of Cu-CMP process using commonly used tungsten slurry. In order to compare the removal rate and non-uniformity as a function of oxidizer contents, we used alumina-based tungsten slurry and copper blanket wafers deposited by DC sputtering method. According to the CMP removal rates and particle size distribution, and the microstructures of surface layer by SEM image as a function or oxidizer contents were greatly influenced by the slurry chemical composition of oxidizers. The difference in removal rate and roughness of copper surface are believed to cause by modification in the mechanical behavior of $Al_2$O$_3$abrasive particles in CMP slurry.

A Study on Stick-slip Friction and Scratch in Cu CMP (Cu CMP에서 스틱-슬립 마찰과 스크래치에 관한 연구)

  • Lee, Hyun-Seop;Park, Boum-Young;Jeong, Suk-Hoon;Jeong, Jae-Woo;Seo, Heon-Deok;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.653-654
    • /
    • 2005
  • Stick-slip friction is one of the material removal mechanisms in tribology. This stick-slip friction occurs when the static friction force is larger than the dynamic friction force, and make the friction curve fluctuated. In the friction force monitoring system for chemical mechanical polishing(CMP), the friction force also vibrates just as stick-slip friction. It seems that the stick-slip friction causes scratches on the surface of moving parts. In this paper, A study on the scratches which occur during copper CMP was conducted in a view of stick-slip friction.

  • PDF

Chemical Mechanical Planarization of Cu Hybrid Structure by Controlling Surfactant (계면활성제 함량 조절을 통한 구리 하이브리드 구조물의 화학 기계적 평탄화)

  • Jang, Soocheon;An, Joonho;Park, Jaehong;Jeong, Haedo
    • Korean Journal of Materials Research
    • /
    • v.22 no.11
    • /
    • pp.587-590
    • /
    • 2012
  • Recently, the demand for the miniaturization of package substrates has been increasing. Technical innovation has occurred to move package substrate manufacturing steps into CMP applications. Electroplated copper filled trenches on the substrate need to be planarized for multi-level wires of less than $10{\mu}m$. This paper introduces a chemical mechanical planarization (CMP) process as a new package substrate manufacturing step. The purpose of this study is to investigate the effect of surfactant on the dishing and erosion of Cu patterns with the lines and spaces of around $10/10{\mu}m$ used for advanced package substrates. The use of a conventional Cu slurry without surfactant led to problems, including severe erosion of $0.58{\mu}m$ in Cu patterns smaller than $4/6{\mu}m$ and deep dishing of $4.2{\mu}m$ in Cu patterns larger than $14/16{\mu}m$. However, experimental results showed that the friction force during Cu CMP changed to lower value, and that dishing and erosion became smaller simultaneously as the surfactant concentration became higher. Finally, it was possible to realize more globally planarized Cu patterns with erosion ranges of $0.22{\mu}m$ to $0.35{\mu}m$ and dishing ranges of $0.37{\mu}m$ to $0.69{\mu}m$ by using 3 wt% concentration of surfactant.