• 제목/요약/키워드: Copper-chemical mechanical polishing (Cu-CMP)

검색결과 33건 처리시간 0.028초

구리 CMP 공정변수 최적화를 위한 실험계획법(DOE) 연구 (A Study on DOE Method to Optimize the Process Parameters for Cu CMP)

  • 최민호;김남훈;김상용;장의구
    • 한국전기전자재료학회논문지
    • /
    • 제18권1호
    • /
    • pp.24-29
    • /
    • 2005
  • Chemical mechanical polishing (CMP) has been widely accepted for the global planarization of multi-layer structures in semiconductor manufacturing. Copper has been the candidate metallization material for ultra-large scale integrated circuits (ULSIs), owing to its excellent electro-migration resistance and low electrical resistance. However, it still has various problems in copper CMP process. Thus, it is important to understand the effect of the process variables such as turntable speed, head speed, down force and back pressure are very important parameters that must be carefully formulated in order to achieve desired the removal rates and non-uniformity. Using a design of experiment (DOE) approach, this study was performed investigating the main effect of the variables and the interaction between the various parameters during CMP. A better understanding of the interaction behavior between the various parameters and the effect on removal rate, non-uniformity and ETC (edge to center) is achieved by using the statistical analysis techniques. In the experimental tests, the optimum parameters which were derived from the statistical analysis could be found for higher removal rate and lower non-uniformity through the above DOE results.

전기화학 기계적 연마를 이용한 Cu 배선의 평탄화 (Planarization of Cu intereonnect using ECMP process)

  • 정석훈;서현덕;박범영;박재홍;이호준;오지헌;정해도
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 하계학술대회 논문집 Vol.8
    • /
    • pp.79-80
    • /
    • 2007
  • Copper has been used as an interconnect material in the fabrication of semiconductor devices, because of its higher electrical conductivity and superior electro-migration resistance. Chemical mechanical polishing (CMP) technique is required to planarize the overburden Cu film in an interconnect process. Various problems such as dishing, erosion, and delamination are caused by the high pressure and chemical effects in the Cu CMP process. But these problems have to be solved for the fabrication of the next generation semiconductor devices. Therefore, new process which is electro-chemical mechanical planarization/polishing (ECMP) or electro-chemical mechanical planarization was introduced to solve the. technical difficulties and problems in CMP process. In the ECMP process, Cu ions are dissolved electrochemically by the applying an anodic potential energy on the Cu surface in an electrolyte. And then, Cu complex layer are mechanically removed by the mechanical effects between pad and abrasive. This paper focuses on the manufacturing of ECMP system and its process. ECMP equipment which has better performance and stability was manufactured for the planarization process.

  • PDF

A Study on the Optimized Copper Electrochemical Plating in Dual Damascene Process

  • Yoo, Hae-Young;Chang, Eui-Goo;Kim, Nam-Hoon
    • Transactions on Electrical and Electronic Materials
    • /
    • 제6권5호
    • /
    • pp.225-228
    • /
    • 2005
  • In this work, we studied the optimized copper thickness in Cu ECP (Electrochemical Plating). In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge (bump, hump or over-plating amount), Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness. In the aspect of bump and dishing, the bulge increased according as target plating thickness decreased. Dishing of edge was larger than center of wafer. Also in case of electrical property, metal line resistance distribution became broad gradually according as Cu ECP thickness decreased. In conclusion, at least $20\%$ reduced Cu ECP thickness from current baseline; $0.8\;{\mu}m$ and $1.0\;{\mu}m$ are suitable to be adopted as newly optimized Cu ECP thickness for local and intermediate layer.

Optimization of Removal Rates with Guaranteed Dispersion Stability in Copper CMP Slurry

  • Kim Tae-Gun;Kim Nam-Hoon;Kim Sang-Yong;Chang Eui-Goo
    • Transactions on Electrical and Electronic Materials
    • /
    • 제5권6호
    • /
    • pp.233-236
    • /
    • 2004
  • Copper metallization has been used in high-speed logic ULSI devices instead of the conventional aluminum alloy metallization. One of the key issues in copper CMP is the development of slurries that can provide high removal rates. In this study, the effects of slurry chemicals and pH for slurry dispersion stability on Cu CMP process characteristics have been performed. The experiments of copper slurries containing each different alumina and colloidal silica particles were evaluated for their selectivity of copper to TaN and $SiO_{2}$ films. Furthermore, the stability of copper slurries and pH are important parameters in many industries due to problems that can arise as a result of particle settling. So, it was also observed about several variables with various pH.

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

  • Lin, Paul-Chang;Xu, Jin-Hai;Lu, Hong-Liang;Zhang, David Wei;Li, Pei
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제17권3호
    • /
    • pp.319-325
    • /
    • 2017
  • Through silicon via (TSV) technology is extensively used in 3D IC integrations. The special structure of the TSV is realized by CMP (Chemically Mechanical Polishing) process with a high Cu removal rate and, low dishing, yielding fine topography without defects. In this study, we investigated the electrochemical behavior of copper slurries with various inhibitors in the Cu CMP process for advanced TSV applications. One of the slurries was carried out for the most promising process with a high removal rate (${\sim}18000{\AA}/Min$ @ 3 psi) and low dishing (${\sim}800{\AA}$), providing good microstructure. The effects of pH value and $H_2O_2$ concentration on the slurry corrosion potential and Cu static etching rate (SER) were also examined. The slurry formula with a pH of 6 and 2% $H_2O_2$, hadthe lowest SER (${\sim}75{\AA}/Min$) and was the best for TSV CMP. A novel Cu TSV CMP process was developed with two CMPs and an additional annealing step after some of the bulk Cu had been removed, effectively improving the condition of the TSV Cu surface and preventing the formation of crack defects by variations in wafer stress during TSV process integration.

구리 CMP시 확산방지막의 부식특성 (Corrosion Characteristics of Diffusion Barrier in Copper CMP)

  • 이도원;김남훈;임종혼;김상용;이철인;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 춘계학술대회 논문집 센서 박막재료 반도체 세라믹
    • /
    • pp.162-165
    • /
    • 2003
  • The corrosion characteristics of diffusion barrier in Copper CMP has been investigated. Key experimental variables that has been investigated are the corrosion rate by different agents containing slurry of Cu CMP. Whenever Cu and Ta films were corroded adding each oxidizer, the corrosion rate of Ta was much lower than that of Cu. That is, the difference in the corrosion rates of Ta by oxidizer was not larger as compared with Cu. As corroded by complexing agents, the corrosion rate of Ta was close to O. The corrosion rate of Ta increased as added $HNO_3$ and $CH_3COOH$ compared with the reference slurry; on the other hand, it decreased with addition of HF. In addition, resulting corrosion rate went up with lower pH of agent. The corrosion rates by agents were however significant small; hence, it doesn't affect on the removal rate of Cu CMP practically. Consequently, this can be explained by assuming that the mechanical effect dominates than the chemical effect on the polishing rate of Ta(TaN).

  • PDF

Cu ECMP 공정에서의 전해질 특성평가 (Characterization of Electrolyte in Electrochemical Mechanical Planarization)

  • 권태영;김인권;박진구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2006년도 하계학술대회 논문집 Vol.7
    • /
    • pp.57-58
    • /
    • 2006
  • Chemical-mechanical planarization (CMP) of Cu has used currently in semiconductor process for multilevel metallization system. This process requires the application of a considerable down-pressure to the sample in the polishing, because porous low-k films used in the Cu-multilevel interconnects of 65nm technology node are often damaged by mechanical process. Also, it make possible to reduce scratches and contaminations of wafer. Electrochemical mechanical planarization (ECMP) is an emerging extension of CMP. In this study, the electrochemical mechanical polisher was manufactured. And the static and dynamic potentiodynamic curve of Cu were measured in KOH based electrolyte and then the suitable potential was found.

  • PDF

고정입자 패드를 이용한 층간 절연막 CMP에 관한 연구 (The Study of ILD CMP Using Abrasive Embedded Pad)

  • 박재홍;김호윤;정해도
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2001년도 춘계학술대회 논문집
    • /
    • pp.1117-1120
    • /
    • 2001
  • Chemical mechanical planarization(CMP) has emerged as the planarization technique of choice in both front-end and back-end integrated circuit manufacturing. Conventional CMP process utilize a polyurethane polishing pad and liquid chemical slurry containing abrasive particles. There have been serious problems in CMP in terms of repeatability and defects in patterned wafers. Since IBM's official announcement on Copper Dual Damascene(Cu2D) technology, the semiconductor world has been engaged in a Cu2D race. Today, even after~3years of extensive R&D work, the End-of-Line(EOL) yields are still too low to allow the transition of technology to manufacturing. One of the reasons behind this is the myriad of defects associated with Cu technology. Especially, dishing and erosion defects increase the resistance because they decrease the interconnection section area, and ultimately reduce the lifetime of the semiconductor. Methods to reduce dishing & erosion have recently been interface hardness of the pad, optimization of the pattern structure as dummy patterns. Dishing & erosion are initially generated an uneven pressure distribution in the materials. These defects are accelerated by free abrasive and chemical etching. Therefore, it is known that dishing & erosion can be reduced by minimizing the abrasive concentration. Minimizing the abrasive concentration by using Ce$O_2$ is the best solution for reducing dishing & erosion and for removal rate. This paper introduce dishing & erosion generating mechanism and a method for developing a semi-rigid abrasive pad to minimize dishing & erosion during CMP.

  • PDF

Dual Damascene 공정에서 Bottom-up Gap-fill 메커니즘을 이용한 Cu Plating 두께 최적화 (Cu Plating Thickness Optimization by Bottom-up Gap-fill Mechanism in Dual Damascene Process)

  • 유해영;김남훈;김상용;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 하계학술대회 논문집 Vol.6
    • /
    • pp.93-94
    • /
    • 2005
  • Cu metallization using electrochemical plating(ECP) has played an important role in back end of line(BEOL) interconnect formation. In this work, we studied the optimized copper thickness using Bottom-up Gap-fill in Cu ECP, which is closely related with the pattern dependencies in Cu ECP and Cu dual damascene process at 0.13 ${\mu}m$ technology node. In order to select an optimized Cu ECP thickness, we examined Cu ECP bulge, Cu CMP dishing and electrical properties of via hole and line trench over dual damascene patterned wafers split into different ECP Cu thickness.

  • PDF

구리 CMP 슬러리를 위한 산화제 $H_2O_2$의 안정성 (Stability of Oxidizer $H_2O_2$ for Copper CMP Slurry)

  • 이도원;김인표;김남훈;김상용;서용진;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 하계학술대회 논문집 Vol.4 No.1
    • /
    • pp.382-385
    • /
    • 2003
  • Chemical mechanical polishing(CMP) is an essential process in the production of copper-based chips. On this work, the stability of Hydrogen Peroxide($H_2O_2$) as oxidizer of Cu CMP slurry has been investigated. $H_2O_2$ is known as the most common oxidizer in Cu CMP slurry. Copper slowly dissolves in $H_2O_2$ solutions and the interaction of $H_2O_2$ with copper surface had been studied in the literature. Because hydrogen peroxide is a weak acid in aqueous solutions, a passivation-type slurry chemistry could be achieved only with pH buffered solution.[1] Moreover, $H_2O_2$ is so unstable that its stabilization is needed using as oxidizer. As adding KOH as pH buffering agent, stability of $H_2O_2$ decreased. However, stability went up with putting in small amount of BTA as film forming agent. There was no difference of $H_2O_2$ stability between KOH and TMAH at same pH. On the other hand, $H_2O_2$ dispersion of TMAH is lower than that of KOH. Furthermore, adding $H_2O_2$ in slurry in advance of bead milling lead to better stability than adding after bead milling. Generally, various solutions of phosphoric acids result in a higher stability. Using Alumina C as abrasive was good at stabilizing for $H_2O_2$; moreover, better stability was gotten by adding $H_3PO_4$.

  • PDF