• Title/Summary/Keyword: Chemical mechanical polishing/planarization

Search Result 168, Processing Time 0.031 seconds

A Study of End Point Detection Measurement for STI-CMP Applications (STI-CMP 공정 적용을 위한 연마 정지점 고찰)

  • 김상용;서용진
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.3
    • /
    • pp.175-184
    • /
    • 2001
  • In this study, the improved throughput and stability in device fabrication could be obtained by applying CMP process to STi structue in 0.18 um semiconductor device. To employ the CMP process in STI structure, the Reverse Moat Process used to be added after STI Fill, as a result, the process became more complex and the defect were seriously increased than they had been,. Removal rate of each thin film in STI CMP was not uniform, so, the device must have been affected. That is, in case of excessive CMP, the damage on the active area was occurred, and in the case of insufficient CMP nitride remaining was happened on that area. Both of them deteriorated device characteristics. As a solution to these problems, the development of slurry having high removal rate and high oxide to nitride selectivity has been studied. The process using this slurry afford low defect levels, improved yield, and a simplified process flow. In this study, we evaluated the 'High Selectivity Slurry' to do a global planarization without reverse moat step, and also we evaluated EPD(Eend Point Detection) system with which 'in-situ end point detection' is possible.

  • PDF

A Study on CMP Mechanism of $Bi_{3.25}La_{0.75}Ti_{3}O_{12}$ (BLT) Thin Films ($Bi_{3.25}La_{0.75}Ti_{3}O_{12}$ (BLT) 박막의 CMP 메커니즘 연구)

  • Shin, Sang-Hun;Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2006.07c
    • /
    • pp.1450-1451
    • /
    • 2006
  • In this paper, we first applied the chemical mechanical polishing (CMP) process to the planarization of ferroelectric film in order to obtain a good planarity of electrode/ferroelectric film interface. $Bi_{3.25}La_{0.75}Ti_{3}O_{12}$ (BLT) ferroelectric fan was fabricated by the sol-gel method. Removal rate and non-uniformity (WIWNU%) were examined by change of silica slurries pH(10.3, 11.3, 12.3). Surface roughness of BLT thin films before and after CMP process was inquired into by atomic force microscopy (AFM). Effects of silica slurries pH(10.3, 11.3, 12.3) were investigated on the CMP performance of BLT film by the surface analysis of X-ray photoelectron spectroscopy(XPS).

  • PDF

Planarization of SUS310 Metal Substrate Used for Coated Conductor Substrate by Chemical Solution Coating Method (화학적인 용액 코팅방법에 의한 박막형 고온초전도체에 사용되는 SUS310 금속모재의 평탄화 연구)

  • Lee, J.B.;Lee, H.J.;Kim, B.J.;Kwon, B.K.;Kim, S.J.;Lee, J.S.;Lee, C.Y.;Moon, S.H.;Lee, H.G.;Hong, G.W.
    • Progress in Superconductivity
    • /
    • v.12 no.2
    • /
    • pp.118-123
    • /
    • 2011
  • The properties of $2^{nd}$ generation high temperature superconducting wire, coated conductor strongly depend on the quality of superconducting oxide layer and property of metal substrate is one of the most important factors affecting the quality of coated conductor. Good mechanical and chemical stability at high temperature are required to maintain the initial integrity during the various process steps required to deposit several layers consisting coated conductor. And substrate need to be nonmagnetic to reduce magnetization loss for ac application. Hastelloy and stainless steel are the most suitable alloys for metal substrate. One of the obstacles in using stainless steel as substrate for coated conductor is its difficulties in making smooth surface inevitable for depositing good IBAD layer. Conventional method involves several steps such as electro polishing, deposition of $Al_2O_3$ and $Y_2O_3$ before IBAD process. Chemical solution deposition method can simplify those steps into one step process having uniformity in large area. In this research, we tried to improve the surface roughness of stainless steel(SUS310). The precursor coating solution was synthesized by using yttrium complex. The viscosity of coating solution and heat treatment condition were optimized for smooth surface. A smooth amorphous $Y_2O_3$ thin film suitable for IBAD process was coated on SUS310 tape. The surface roughness was improved from 40nm to 1.8 nm by 4 coatings. The IBAD-MgO layer deposited on prepared substrate showed good in plane alignment(${\Delta}{\phi}$) of $6.2^{\circ}$.

Study of Inhibition Characteristics of Slurry Additives in Copper CMP using Force Spectroscopy

  • Lee, Hyo-Sang;Philipossian Ara;Babu Suryadevara V.;Patri Udaya B.;Hong, Young-Ki;Economikos Laertis;Goldstein Michael
    • Transactions on Electrical and Electronic Materials
    • /
    • v.8 no.1
    • /
    • pp.5-10
    • /
    • 2007
  • Using a reference slurry, ammonium dodecyl sulfate (ADS), an anionic and environmentally friendly surfactant, was investigated as an alternative to BTA for its inhibition and lubrication characteristics. Results demonstrated that the inhibition efficiency of ADS was superior to that of BTA. Coefficient of friction (COF) was the lowest when the slurry contained ADS. This suggested that adsorbed ADS on the surface provided lubricating action thereby reducing the wear between the contacting surfaces. Temperature results were consistent with the COF and removal rate data. ADS showed the lowest temperature rise again confirming the softening effect of the adsorbed surfactant layer and less energy dissipation due to friction. Spectral analysis of shear force showed that increasing the pad-wafer sliding velocity at constant wafer pressure shifted the high frequency spectral peaks to lower frequencies while increasing the variance of the frictional force. Addition of ADS reduced the fluctuating component of the shear force and the extent of the pre-existing stick-slip phenomena caused by the kinematics of the process and collision event between pad asperities with the wafer. By contrast, in the case of BTA, there were no such observed benefits but instead undesirable effects were seen at some polishing conditions. This work underscored the importance of real-time force spectroscopy in elucidating the adsorption, lubrication and inhibition of additives in slurries in CMP.

Effect of Concentration and Surface Property of Silica Sol on the Determination of Particle Size and Electrophoretic Mobility by Light Scattering Method (광산란법에서 실리카 졸의 농도 및 표면특성이 입자 크기 및 전기영동 이동도 측정결과에 미치는 영향)

  • Cho, Gyeong Sook;Lee, Dong-Hyun;Kim, Dae Sung;Lim, Hyung Mi;Kim, Chong Youp;Lee, Seung-Ho
    • Korean Chemical Engineering Research
    • /
    • v.51 no.5
    • /
    • pp.622-627
    • /
    • 2013
  • Colloidal silica is used in various industrial products such as chemical mechanical polishing slurry for planarization of silicon and sapphire wafer, organic-inorganic hybrid coatings, binder of investment casting, etc. An accurate determination of particle size and dispersion stability of silica sol is demanded because it has a strong influence on surface of wafer, film of coatings or bulks having mechanical, chemical and optical properties. The study herein is discussed on the effect of measurement results of average particle size, sol viscosity and electrophoretic mobility of particle according to the volume fraction of eight types of silica sol with different size and surface properties of silica particles which are presented by the manufacturer. The measured particle size and the mobility of these sol were changed by volume fraction or particle size due to highly active surface of silica particle and change of concentration of counter ion by dilution of silica sol. While in case the measured sizes of small particles less than 60 nm are increased with increasing volume fraction, the measured sizes of larger particles than 60 nm are slightly decreased. The mobility of small particle such as 12 nm are decreased with increase of viscosity. However, the mobility of 100 nm particles under 0.048 volume fraction are increased with increasing volume fraction and then decreased over higher volume fraction.

Effect of pH in Sodium Periodate based Slurry on Ru CMP (Sodium Periodate 기반 Slurry의 pH 변화가 Ru CMP에 미치는 영향)

  • Kim, In-Kwon;Cho, Byung-Gwun;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.117-117
    • /
    • 2008
  • In MIM capacitor, poly-Si bottom electrode is replaced with metal bottom electrode. Noble metals can be used as bottom electrodes of capacitors because they have high work function and remain conductive in highly oxidizing conditions. In addition, they are chemically very stable. Among novel metals, Ru (ruthenium) has been suggested as an alternative bottom electrode due to its excellent electrical performance, including a low leakage of current and compatibility to high dielectric constant materials. Chemical mechanical planarization (CMP) process has been suggested to planarize and isolate the bottom electrode. Even though there is a great need for development of Ru CMP slurry, few studies have been carried out due to noble properties of Ru against chemicals. In the organic chemistry literature, periodate ion ($IO_4^-$) is a well-known oxidant. It has been reported that sodium periodate ($NaIO_4$) can form $RuO_4$ from hydrated ruthenic oxide ($RuO_2{\cdot}nH_2O$). $NaIO_4$ exist as various species in an aqueous solution as a function of pH. Also, the removal mechanism of Ru depends on solution of pH. In this research, the static etch rate, passivation film thickness and wettability were measured as a function of slurry pH. The electrochemical analysis was investigated as a function of pH. To evaluate the effect of pH on polishing behavior, removal rate was investigated as a function of pH by using patterned and unpatterned wafers.

  • PDF

Utilizing Advanced Pad Conditioning and Pad Motion in WCMP

  • Kim, Sang-Yong;Chung, Hun-Sang;Park, Min-Woo;Kim, Chang-Il;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.171-175
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectrics and metal, which can apply to employed in integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of free-defects in inter level dielectrics and metal. Especially, defects like (micro-scratch) lead to severe circuit failure, and affects yield. Current conditioning method - bladder type, orbital pad motion - usually provides unsuitable pad profile during ex-situ conditioning near the end of pad life. Since much of the pad wear occurs by the mechanism of bladder tripe conditioning and its orbital motion without rotation, we need to implement new ex-situ conditioner which can prevent abnormal regional force on pad caused by bladder-type and also need to rotate the pad during conditioning. Another important study of ADPC is related to the orbital scratch of which source is assumed as diamond grit dropped from the strip during ex-situ conditioning. Scratch from diamond grit damaged wafer severely so usual1y scraped. Figure 1 shows the typical shape of scratch damaged from diamond. We suspected that intensive forces to the edge area of bladder type stripper accelerated the drop of Diamond grit during conditioning, so new designed Flat stripper was introduced.

  • PDF

Electrochemical Characterization of Anti-Corrosion Film Coated Metal Conditioner Surfaces for Tungsten CMP Applications (텅스텐 화학적-기계적 연마 공정에서 부식방지막이 증착된 금속 컨디셔너 표면의 전기화학적 특성평가)

  • Cho, Byoung-Jun;Kwon, Tae-Young;Kim, Hyuk-Min;Venkatesh, Prasanna;Park, Moon-Seok;Park, Jin-Goo
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.19 no.1
    • /
    • pp.61-66
    • /
    • 2012
  • Chemical Mechanical Planarization (CMP) is a polishing process used in the microelectronic fabrication industries to achieve a globally planar wafer surface for the manufacturing of integrated circuits. Pad conditioning plays an important role in the CMP process to maintain a material removal rate (MRR) and its uniformity. For metal CMP process, highly acidic slurry containing strong oxidizer is being used. It would affect the conditioner surface which normally made of metal such as Nickel and its alloy. If conditioner surface is corroded, diamonds on the conditioner surface would be fallen out from the surface. Because of this phenomenon, not only life time of conditioners is decreased, but also more scratches are generated. To protect the conditioners from corrosion, thin organic film deposition on the metal surface is suggested without requiring current conditioner manufacturing process. To prepare the anti-corrosion film on metal conditioner surface, vapor SAM (self-assembled monolayer) and FC (Fluorocarbon) -CVD (SRN-504, Sorona, Korea) films were prepared on both nickel and nickel alloy surfaces. Vapor SAM method was used for SAM deposition using both Dodecanethiol (DT) and Perfluoroctyltrichloro silane (FOTS). FC films were prepared in different thickness of 10 nm, 50 nm and 100 nm on conditioner surfaces. Electrochemical analysis such as potentiodynamic polarization and impedance, and contact angle measurements were carried out to evaluate the coating characteristics. Impedance data was analyzed by an electrical equivalent circuit model. The observed contact angle is higher than 90o after thin film deposition, which confirms that the coatings deposited on the surfaces are densely packed. The results of potentiodynamic polarization and the impedance show that modified surfaces have better performance than bare metal surfaces which could be applied to increase the life time and reliability of conditioner during W CMP.