• Title/Summary/Keyword: Chemical mechanical planarization

Search Result 231, Processing Time 0.029 seconds

CMP의 화학 기계적 균형

  • Jeong, Hae-Do
    • Journal of the KSME
    • /
    • v.56 no.7
    • /
    • pp.36-39
    • /
    • 2016
  • 이 글에서는 1G DR AM급 이상의 고집적 반도체 소자를 제조하기 위해 필수적인 표면 평탄화 방법으로 CMP(Chemical Mechanical Planarization) 공정을 소개한다. 특히 반도체 소자를 구성하는 재료의 화학적 반응과 기계적 마멸 정도에 적합한 연마(polishing) 처방을 제공하고자 한다.

  • PDF

Consumable Approaches of Polysilicon MEMS CMP

  • Park, Sung-Min;Jeong, Suk-Hoon;Jeong, Moon-Ki;Park, Boum-Young;Jeong, Hae-Do;Kim, Hyoung-Jae
    • Transactions on Electrical and Electronic Materials
    • /
    • v.7 no.4
    • /
    • pp.157-162
    • /
    • 2006
  • Chemical-mechanical polishing (CMP), one of the dominant technology for ULSI planarization, is used to flatten the micro electro-mechanical systems (MEMS) structures. The objective of this paper is to achieve good planarization of the deposited film and to improve deposition efficiency of subsequent layer structures by using surface-micromachining process in MEMS technology. Planarization characteristic of poly-Si film deposited on thin oxide layer with MEMS structures is evaluated with different slurries. Patterns used for this research have shapes of square, density, line, hole, pillar, and micro engine part. Advantages of CMP process for MEMS structures are observed respectively by using the test patterns with structures larger than 1 urn line width. Preliminary tests for material selectivity of poly-Si and oxide are conducted with two types of silica slurries: $ILD1300^{TM}\;and\;Nalco2371^{TM}$. And then, the experiments were conducted based on the pretest. A selectivity and pH adjustment of slurry affected largely step heights of MEMS structures. These results would be anticipated as an important bridge stone to manufacture MEMS CMP slurry.

Planarization characteristics as a function of polishing time of STI-CMP process (STI CMP 공정의 연마시간에 따른 평탄화 특성)

  • 김철복;서용진;김상용;이우선;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.07a
    • /
    • pp.33-36
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for deep sub-micron technology. The rise throughput and the stability in the device fabrication can be obtained by applying of CMP process to STI structure in 0.18$\mu\textrm{m}$ m semiconductor device. The reverse moat process has been added to employ in of each thin films in STI-CMP was not equal, hence the devices must to be effected, that is, the damage was occurred in the device area for the case of excessive CMP process and the nitride film was remained on the device area for the case of insufficient CMP process, and than, these defects affect the device characteristics. Also, we studied the High Selectivity Slurry(HSS) to perform global planarization without reverse moat step.

  • PDF

Study on the Pad Wear Profile Based on the Conditioner Swing Using Deep Learning for CMP Pad Conditioning (CMP 패드 컨디셔닝에서 딥러닝을 활용한 컨디셔너 스윙에 따른 패드 마모 프로파일에 관한 연구)

  • Byeonghun Park;Haeseong Hwang;Hyunseop Lee
    • Tribology and Lubricants
    • /
    • v.40 no.2
    • /
    • pp.67-70
    • /
    • 2024
  • Chemical mechanical planarization (CMP) is an essential process for ensuring high integration when manufacturing semiconductor devices. CMP mainly requires the use of polyurethane-based polishing pads as an ultraprecise process to achieve mechanical material removal and the required chemical reactions. A diamond disk performs pad conditioning to remove processing residues on the pad surface and maintain sufficient surface roughness during CMP. However, the diamond grits attached to the disk cause uneven wear of the pad, leading to the poor uniformity of material removal during CMP. This study investigates the pad wear rate profile according to the swing motion of the conditioner during swing-arm-type CMP conditioning using deep learning. During conditioning, the motion of the swing arm is independently controlled in eight zones of the same pad radius. The experiment includes six swingmotion conditions to obtain actual data on the pad wear rate profile, and deep learning learns the pad wear rate profile obtained in the experiment. The absolute average error rate between the experimental values and learning results is 0.01%. This finding confirms that the experimental results can be well represented by learning. Pad wear rate profile prediction using the learning results reveals good agreement between the predicted and experimental values.

The Effect of Mechanical Properties of Polishing Pads on Oxide CMP ( Chemical Mechanical Planarization )

  • Hong, Yi-Koan;Eom, Dae-Hong;Kang, Young-Jae;Park, Jin-Goo;Kim, Jae-Suk;Kim, Geon;Lee, Ju-Yeol;Park, In-Ha
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 2002.10b
    • /
    • pp.445-446
    • /
    • 2002
  • The purpose of this study was to investigate the effect of micro holes, pattern structure and elastic modulus of pads on the polishing behavior such as the removal rate and WIWNU (within wafer non-uniformity) during CMP. The regular holes on the pad act as the superior abrasive particle's reservoir and regular distributor at the bulk pad, respectively. The superior CMP performance was observed at the laser processed bulk pad with holes. Also, th ε groove pattern shape was very important for the effective polishing. Wave grooved pad showed higher removal rates than K-grooved pad. The removal rate was linearly increased as the top pad's elastic modulus increased.

  • PDF

The Study of Metal CMP Using Abrasive Embedded Pad (고정입자 패드를 이용한 텅스텐 CMP에 관한 연구)

  • Park, Jae-Hong;Kim, Ho-Yun;Jeong, Hae-Do
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.18 no.12
    • /
    • pp.192-199
    • /
    • 2001
  • Chemical mechanical planarization (CMP) has emerged as the planarization technique of choice in both front-end and back-end integrated circuit manufacturing. Conventional CMP process utilize a polyurethane polishing pad and liquid chemical slurry containing abrasive particles. There hale been serious problems in CMP in terms of repeatability and deflects in patterned wafers. Especial1y, dishing and erosion defects increase the resistance because they decrease the interconnection section area, and ultimately reduce the lifetime of the semiconductor. Methods to reduce dishing & erosion have recently been interface hardness of the pad, optimization of the pattern structure as dummy patterns. Dishing & erosion are initially generated an uneven pressure distribution in the materials. These defects are accelerated by free abrasives and chemical etching. Therefore, it is known that dishing & erosion can be reduced by minimizing the abrasive concentration. Minimizing the abrasive concentration by using CeO$_2$is the best solution for reducing dishing & erosion and for removal rate. This paper introduce dishing & erosion generating mechanism and a method fur developing a semi-rigid abrasive pad to minimize dishing & erosion during CMP.

  • PDF

Nonuniformity of Conditioning Density According to CMP Conditioning System Design Variables Using Artificial Neural Network (인공신경망을 활용한 CMP 컨디셔닝 시스템 설계 변수에 따른 컨디셔닝 밀도의 불균일도 분석)

  • Park, Byeonghun;Lee, Hyunseop
    • Tribology and Lubricants
    • /
    • v.38 no.4
    • /
    • pp.152-161
    • /
    • 2022
  • Chemical mechanical planarization (CMP) is a technology that planarizes the surfaces of semiconductor devices using chemical reaction and mechanical material removal, and it is an essential process in manufacturing highly integrated semiconductors. In the CMP process, a conditioning process using a diamond conditioner is applied to remove by-products generated during processing and ensure the surface roughness of the CMP pad. In previous studies, prediction of pad wear by CMP conditioning has depended on numerical analysis studies based on mathematical simulation. In this study, using an artificial neural network, the ratio of conditioner coverage to the distance between centers in the conditioning system is input, and the average conditioning density, standard deviation, nonuniformity (NU), and conditioning density distribution are trained as targets. The result of training seems to predict the target data well, although the average conditioning density, standard deviation, and NU in the contact area of wafer and pad and all areas of the pad have some errors. In addition, in the case of NU, the prediction calculated from the training results of the average conditioning density and standard deviation can reduce the error of training compared with the results predicted through training. The results of training on the conditioning density profile generally follow the target data well, confirming that the shape of the conditioning density profile can be predicted.

Development and Characterization of Ru CMP Slurry (Ru CMP Slurry의 개발 및 특성평가)

  • Kim, In-Kwon;Kwon, Tae-Young;Park, Jin-Goo;Park, Hyung-Soon
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.11a
    • /
    • pp.57-58
    • /
    • 2006
  • In MIM (metal insulator metal) capacitor, Ru (ruthenium) has been suggested as new bottom electrode due to its excellent electrical performance, a low leakage of current and compatibility to the high dielectric constant materials. In this case of Ru bottom electrode, CMP (chemical mechanical planarization) process was needed m order to planarize and isolate the bottom electrode. In this study, the effect of chemical A on polishing and etching behavior was investigated as functions of chemical A concentration, abrasive particle and pressure. Chemical A was used as oxidant and etchant. The thickness of passivation layer on the treated Ru surface increased with the increase of chemical A concentration. The etch rate and removal rate of Ru were increased by the addition of chemical A. The removal rate was highest m slurry of pH 9 with the addition of 0.1 M chemical A and 2 wt% alumina at 4 psi. The maximum removal rate is about 80 nm/min.

  • PDF

A Study on STI CMP Characteristics using Microstructure Pad (마이크로 표면 구조물을 갖는 패드의 STI CMP 특성 연구)

  • Jung, Jae-Woo;Park, Ki-Hyun;Jang, One-Moon;Park, Sun-Joon;Jeong, Moon-Ki;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.11a
    • /
    • pp.356-357
    • /
    • 2005
  • Chemical mechanical polishing (CMP) allows the planarization of wafers with two or more materials at their surfaces. Especially, polishing pad is considered as one of the most important consumables because of its properties. Subject of this investigation is to apply CMP for planarization of shallow trench isolation structure using microstructure pad. Microstructure pad is designed to have uniform structure on its surface and fabricated by micro-molding technology. And then STI CMP performances such as oxide dishing and nitride corner rounding are evaluated.

  • PDF

Optimization of Electrolytes on Cn ECMP Process (Cu ECMP 공정에 사용디는 전해액의 최적화)

  • Kwon, Tae-Young;Kim, In-Kwon;Cho, Byung-Gwun;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.78-78
    • /
    • 2007
  • In semiconductor devices, Cu has been used for the formation of multilevel metal interconnects by the damascene technique. Also lower dielectric constant materials is needed for the below 65 nm technology node. However, the low-k materials has porous structure and they can be easily damaged by high down pressure during conventional CMP. Also, Cu surface are vulnerable to have surface scratches by abrasive particles in CMP slurry. In order to overcome these technical difficulties in CMP, electro-chemical mechanical planarization (ECMP) has been introduced. ECMP uses abrasive free electrolyte, soft pad and low down-force. Especially, electrolyte is an important process factor in ECMP. The purpose of this study was to characterize KOH and $KNO_3$ based electrolytes on electro-chemical mechanical. planarization. Also, the effect of additives such as an organic acid and oxidizer on ECMP behavior was investigated. The removal rate and static etch rate were measured to evaluate the effect of electro chemical reaction.

  • PDF