• 제목/요약/키워드: CMP process

검색결과 468건 처리시간 0.023초

STI-CMP 공정에서 Consumable의 영향 (Effects of Consumable on STI-CMP Process)

  • 김상용;박성우;정소영;이우선;김창일;장의구;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집
    • /
    • pp.185-188
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process is widely used for global planarization of inter-metal dielectric (IMD) layer and inter-layer dielectric (ILD) for deep sub-micron technology. However, as the IMD and ILD layer gets thinner, defects such as micro-scratch lead to severe circuit failure, which affect yield. In this paper, for the improvement of CMP Process, deionized water (DIW) pressure, purified $N_2$ (P$N_2$) gas, slurry filter and high spray bar were installed. Our experimental results show that DIW pressure and P$N_2$ gas factors were not related with removal rate, but edge hot-spot of patterned wafer had a serious relation. Also, the filter installation in CMP polisher could reduce defects after CMP process, it is shown that slurry filter plays an important role in determining consumable pad lifetime. The filter lifetime is dominated by the defects. However, the slurry filter is impossible to prevent defect-causing particles perfectly. Thus, we suggest that it is necessary to install the high spray bar of de-ionized water (DIW) with high pressure, to overcome the weak-point of slurry filter. Finally, we could expect the improvements of throughput, yield and stability in the ULSI fabrication process.

  • PDF

Cu CMP에서 온도가 재료 제거율에 미치는 영향 (Effects of Temperature on Removal Rate in Cu CMP)

  • 박인호;이다솔;정선호;정해도
    • 한국기계가공학회지
    • /
    • 제17권6호
    • /
    • pp.91-97
    • /
    • 2018
  • Chemical mechanical polishing(CMP) realizes a surface planarity through combined mechanical and chemical means. In CMP process, Preston equation is known as one of the most general approximation of the removal rate. Effects of pressure and relative speed on the mechanical property of Cu CMP has been investigated. On the other hand, The amount of abrasion also increased with changes in pressure and speed, resulting in a proportional increase of temperature during CMP. Especially this temperature is an important factor to change chemical reaction in a Cu CMP. However, when the slurry temperature became higher than $70^{\circ}C$, the removal rate went lower due to abrasives aggregation and scratching occurred on the Cu film. Therefore, it was found that the slurry temperature should not exceed $70^{\circ}C$ during Cu CMP. Finally, authors could increase the pressure, speed and slurry temperature up to a ceratin level to improve the removal rate without surface defects.

슬러리 및 패드 변화에 따른 기계화학적인 연마 특성 (Chemical Mechanical Polishing Characteristics with Different Slurry and Pad)

  • 서용진;정소영;김상용
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제52권10호
    • /
    • pp.441-446
    • /
    • 2003
  • The chemical mechanical polishing (CMP) process is now widely employed in the ultra large scale integrated (ULSI) semiconductor fabrication. Especially, shallow trench isolation (STI) has become a key isolation scheme for sub-0.13/0.10${\mu}{\textrm}{m}$ CMOS technology. The most important issues of STI-CMP is to decrease the various defects such as nitride residue, dishing, and tom oxide. To solve these problems, in this paper, we studied the planarization characteristics using slurry additive with the high selectivity between $SiO_2$ and $Si_3$$N_4$ films for the purpose of process simplification and in-situ end point detection. As our experimental results, it was possible to achieve a global planarization and STI-CMP process could be dramatically simplified. Also, we estimated the reliability through the repeated tests with the optimized process conditions in order to identify the reproducibility of STI-CMP process.

회전형 CMP장비의 속도 및 마찰력 분포 해석 (Velocity and Friction Force Distribution in Rotary CMP Equipment)

  • 김형재;정해도;이응숙;신영재
    • 한국정밀공학회지
    • /
    • 제20권5호
    • /
    • pp.39-39
    • /
    • 2003
  • As the design rules in semiconductor manufacturing process become more and more stringent, the higher degree of planarization of device surface is required for a following lithography process. Also, it is great challenge for chemical mechanical polishing to achieve global planarization of 12” wafer or beyond. To meet such requirements, it is essential to understand the CMP equipment and process itself. In this paper, authors suggest the velocity distribution on the wafer, direction of friction force and the uniformity of velocity distribution of conventional rotary CMP equipment in an analytical method for an intuitive understanding of variation of kinematic variables. To this end, a novel dimensionless variable defined as “kinematic number” is derived. Also, it is shown that the kinematic number could consistently express the velocity distribution and other kinematic characteristics of rotary CMP equipment.

회전형 CMP장비의 속도 및 마찰력 분포 해석 (Velocity and Friction Force Distribution in Rotary CMP Equipment)

  • 김형재;정해도;이응숙;신영재
    • 한국정밀공학회지
    • /
    • 제20권5호
    • /
    • pp.29-38
    • /
    • 2003
  • As the design rules in semiconductor manufacturing process become more and more stringent, the higher degree of planarization of device surface is required for a following lithography process. Also, it is great challenge for chemical mechanical polishing to achieve global planarization of 12” wafer or beyond. To meet such requirements, it is essential to understand the CMP equipment and process itself. In this paper, authors suggest the velocity distribution on the wafer, direction of friction force and the uniformity of velocity distribution of conventional rotary CMP equipment in an analytical method for an intuitive understanding of variation of kinematic variables. To this end, a novel dimensionless variable defined as “kinematic number” is derived. Also, it is shown that the kinematic number could consistently express the velocity distribution and other kinematic characteristics of rotary CMP equipment.

고정입자패드를 이용한 텅스텐 CMP 개발 및 평가 (Development and Evaluation of Fixed Abrasive Pad in Tungsten CMP)

  • 박범영;김호윤;김구연;정해도
    • 한국기계가공학회지
    • /
    • 제2권4호
    • /
    • pp.17-24
    • /
    • 2003
  • Chemical mechanical polishing(CMP) has been applied for planarization of topography after patterning process in semiconductor fabrication process. Tungsten CMP is necessary to build up interconnects of semiconductor device. But the tungsten dishing and the oxide erosion defects appear at end-point during tungsten CMP. It has been known that the generation of dishing and erosion is based on the over-polishing time, which is determined by pattern selectivity. Fixed abrasive pad takes advantage of decreasing the defects resulting flam reducing pattern selectivity because of the lower abrasive concentration. The manufacturing technique of fixed abrasive pad using hydrophilic polymers is introduced in this paper. For application to tungsten CMP, chemicals composed of oxidizer, catalyst, and acid were developed. In comparison of the general pad and slurry for tungsten CMP, the fixed abrasive pad and the chemicals resulted in appropriate performance in point of removal rate, uniformity, material selectivity and roughness.

  • PDF

H2O2 산화제가 W/Ti 박막의 전기화학적 분극특성 및 CMP 성능에 미치는 영향 (Electrochemical Polarization Characteristics and Effect of the CMP Performances of Tungsten and Titanium Film by H2O2 Oxidizer)

  • 나은영;서용진;이우선
    • 한국전기전자재료학회논문지
    • /
    • 제18권6호
    • /
    • pp.515-520
    • /
    • 2005
  • CMP(chemical mechanical polishing) process has been attracted as an essential technology of multi-level interconnection. Also CMP process got into key process for global planarization in the chip manufacturing process. In this study, potentiodynamic polarization was carried out to investigate the influences of $H_2O_2$ concentration and metal oxide formation through the passivation on tungsten and titanium. Fortunately, the electrochemical behaviors of tungsten and titanium are similar, an one may expect. As an experimental result, electrochemical corrosion of the $5\;vol\%\;H_2O_2$ concentration of tungsten and titanium films was higher than the other concentrations. According to the analysis, the oxidation state and microstructure of surface layer were strongly influenced by different oxidizer concentration. Moreover, the oxidation kinetics and resulting chemical state of oxide layer played critical roles in determining the overall CMP performance. Therefore, we conclude that the CMP characteristics tungsten and titanium metal layer including surface roughness were strongly dependent on the amounts of hydrogen peroxide oxidizer.

The Condition of Optimum Coagulation for Recycling Water from CMP Slurry

  • Seongho Hong;Oh, Suck-Hwan
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2001년도 The 6th International Symposium of East Asian Resources Recycling Technology
    • /
    • pp.415-420
    • /
    • 2001
  • Water usage in the semiconductor industries is dramatically increased by not only using bigger wafer from 8 inches to 12 inches but also by adapting new process such as Chemical Mechanical Planarization (CMP) process invented by IBM in late '80. However, The document published by International Semiconductor Association suggests the decreasing ultra pure water (UPW) use from 22 gallon/in$^2$in 1997 to 5 gallon/in$^2$ in 2012. The criteria will possibly used as exporting obstacle in the future. Generally, Solid content of CMP slurry is about 15wt%. The slurry is diluted with UPW before fed to a CMP process. When the slurry is discharged from the process as waste, it contains 0.1~0.6wt% of solid content and 9~10 at pH. The CMP waste slurry is discharged to stream with minimum treatment. In this study, to find optimum condition of coagulation for water recovery from the waste CMP slurry various condition of coagulation were examined. After coagulation far 0.1 wt% solid content of waste CMP slurry, the sludge volume was 10~15% after 30 min of sedimentation time. For the 0.5 wt%, sludge volume was 50~55% after one hour of sedimentation time. For more than 80% of water recycling, the solid content should be in the range of 0.1 to 0.2wr%. Based on the result of the turbidity removal, the Zeta Potential and the analysis of heavy metals, the optimum condition for 0.1 wr% of waste CMP slurry was with 20 mg/L of PACI at 4 to 5 of pH. The result showed that the optimum conditions fer the 0.1 wt% waste CMP slurry were 100mg/L of Alum at 4~5 of pH, 100 mg/L of MgCI$_2$at pH 10 to 11 and 100 mg/L of Ca(OH)$_2$at pH 9 to 11, respectively.

  • PDF

계면활성제가 첨가된 DHF의 Post-Oxide CMP 세정 공정에의 적용 연구 (Application of Surfactant added DHF to Post Oxide CMP Cleaning Process)

  • 류청;김유혁
    • 대한화학회지
    • /
    • 제47권6호
    • /
    • pp.608-613
    • /
    • 2003
  • Post-Oxide CMP(Chemical-Mechanical Polishing) 결과 실리콘 웨이퍼를 오염 시키고 있는 슬러리 입자의 세정 가능성을 조사하기 위하여DHF(Diluted HF)에 비이온성 계면 활성제인 PAAE(Polyoxyethylene Alkyl Aryl Ether), 비양성자성 용제인 DMSO(Dimethylsulfoxide) 와 초순수의 혼합물인 새로운 세정액을 제조하였다. 세정력을 평가하기 위해서 세정제 내에서 각각 다른 제타 포텐셜을 갖는 실리카($SiO_2$), 알루미나($Al_2O_3$)와 PSL(polystylene latex) 입자를 실리콘 웨이퍼 표면의 산화막에 인위적으로 오염시킨 후 실험에 이용하였다. 초음파하에서 세정액의 성능 평가 결과 본 세정기술은 효과적인 입자의 세정능력과 금속이온에 대한 세정 능력을 나타내고 있음을 확인하였다. 즉 기존의 APM($NH_4OH,\;H_2O_2$와 D.I.W의 혼합물)과 달리 상온에서 세정이 가능하고 세정과정이 단축 되었으며, 낮은 농도의 HF를 사용함으로써 최소의 에칭에 의하여 표면 거칠기를 감소시킬 수 있음을 보여주고 있다. 또한 주요 CMP 금속 배선 물질들에 대한 낮은 부식력으로 기존의 CMP 후 세정공정에 뿐만 아니라 차세대CMP 공정으로 각광 받고 있는 Copper CMP 에 대한 Brush 세정 공정의 보조 세정제로 본 세정제가 적용될 가능성이 있음을 확인하였다.

금속 CMP 공정에서 연마제와 슬러리 케미컬에 의한 passivation layer의 연마특성 (Polishing Characteristics of passivation layer by abrasive particles and slurry chemical in the Metal CMP process)

  • 박창준;서용진;이경진;정소영;김상용;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 춘계학술대회 논문집 센서 박막재료 반도체 세라믹
    • /
    • pp.45-48
    • /
    • 2003
  • The polishing mechanism of W-CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. Thus, it is important to understand the effect of oxidizer on tungsten passivation layer in order to obtain higher removal rate (RR) and very low non-uniformity (NU%) during W-CMP process. In this paper, we investigated the effects of oxidizer on W-CMP process with three different kinds of oxidizers, such as $H_2O_2$, $Fe(NO_3)_3$, and $KIO_3$. In order to compare the removal rate and non-uniformity of three oxidizers, we used alumina-based slurry of pH 4. According to the CMP tests, three oxidizers showed different removal mechanism on tungsten surface. Also, the microstructures of surface layer by AFM image were greatly influenced by the slurry chemical, composition of oxidizers. The difference in removal rate and roughness of tungsten surface are believed to caused by modification in the mechanical behavior of $Al_2O_3$ abrasive particles in CMP slurry. Our stabilized slurries can be used a guideline and promising method for improved W-CMP process.

  • PDF