• Title/Summary/Keyword: CMP process

Search Result 468, Processing Time 0.029 seconds

Impedance Spectroscopy Analysis of Hydration in Ordinary Portland Cements Involving Chemical Mechanical Planarization Slurry

  • Hwang, Jin-Ha
    • Journal of the Korean Ceramic Society
    • /
    • v.49 no.3
    • /
    • pp.260-265
    • /
    • 2012
  • Impedance spectroscopy was used to monitor the hydration in the electrical/dielectric behaviors of chemical mechanical planarization (CMP)-blended cement mixtures. The electrical responses were analyzed using their equivalent circuit models, leading to the separation of the bulk and electrode based responses. The role of the CMP slurry was monitored as a function of the relative compositions of the CMP-blended cements, i.e. water, CMP slurry, and ordinary Portland cement. The presence of $Al_2O_3$ nanocrystals in the CMP slurries appeared to accelerate the hydration process, along with a more tortuous microstructure in the hydration, with enhanced hydration products. The frequency-dependent impedance spectroscopy was proven to be a highly efficient approach for evaluating the electrical/dielectric monitoring of the change in the pore structure evolution that occurs in CMP-blended cements.

Design of Pad Groove in CMP using CFD (CFD를 이용한 CMP의 Pad Groove 형상 설계 연구)

  • Choi, Chi-Woong;Lee, Do-hyung
    • The KSFM Journal of Fluid Machinery
    • /
    • v.6 no.4 s.21
    • /
    • pp.21-28
    • /
    • 2003
  • CMP (Chemical Mechanical Polishing) is to achieve adequate local and global planarization for future sub-micrometer VLSI requirements. In designing CMP, numerical computation is quite helpful in terms of reducing the amount of experimental works. Stresses on pad, concentration of particles and particle tracking are studied for design. In this research, the optimization of grooved pad shape of CMP is performed through numerical investigation of slurry flow in CMP process. The result indicates that the combination of sinusoidal groove and skewed pad is the most optimal shape among the twenty candidates. Useful information can be obtained in velocity, pressure, stress, concentration of particles and particles trajectories, etc.

A Study on Machining Characteristic Comparison of Blanket Wafer(TEOS) by CMP and Spin Etching (CMP와 Spin Etching에 의한 Blanket Wafer(TEOS) 가공 특성 비교에 관한 연구)

  • 김도윤;정해도;이은상
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2001.04a
    • /
    • pp.1068-1071
    • /
    • 2001
  • Recently, the minimum line width shows a tendancy to decrease and the multi-level to increase in semiconductor. Therefore, a planarization technique is needed, which chemical polishing(CMP) is considered as one of the most important process. CMP accomplishes a high polishing performance and a global planarization of high quality. But there are several defects in CMP such as microscratches, abrasive contaminations, and non-uniformity of polished wafer edges. Spin Etching can improve the defects of CMP. It uses abrasive-free chemical solution instead of slurry. Wafer rotates and chemical solution is simultaneously dispensed on a whole surface of the wafer. Thereby chemical reaction is occurred on the surface of wafer, material is removed. On this study, TEOS film is removed by CMP and Spin Etching, the results are estimated at a viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU).

  • PDF

Effect of slurry on CMP characteristics of Blanket Wafer (Blanket Wafer의 CMP특성에 Slurry가 미치는 영향)

  • 김경준;정해도
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 1996.11a
    • /
    • pp.172-176
    • /
    • 1996
  • The rapid structural change of ULSI chip includes minimum features, multilevel interconnection and large diameter wafers. Demands for the advanced chip structure necessitates the development of enhanced deposition, etching and planarization techniques. Planarization refers to a process that make rugged surfaces flat and uniform. One of the emerging technologies for planarization is chemical mechanical polishing(CMP). Chemical and mechanical removal actions occur during CMP, and both appear to be closely interrelated. The purpose of this study is the optimal application of the slurry to the various types of device materials during CMP. We investigates the effect of slurry on CMP characteristics for thermal oxide and sputtered Al blanket wafers. Results from the polishing rate and the uniformity of residual film include mechanical and chemical reactions between several set of slurry and work material.

  • PDF

Effects of Large Particles and Filter Size in Central Chemical Supplying(CCS) System for STI-CMP on Light Point Defects (LPDs) (STI-CMP용 세리아 슬러리 공급시스템에서 거대입자와 필터 크기가 Light Point Defects (LPDs)에 미치는 영향)

  • 이명윤;강현구;박진형;박재근;백운규
    • Journal of the Semiconductor & Display Technology
    • /
    • v.3 no.4
    • /
    • pp.45-49
    • /
    • 2004
  • We examined large particles and filter size effects of Central Chemical Supplying (CCS) system for STI-CMP on Light Point Defects (LPDs) after polishing. As manufacturing process recently gets thinner below 0.1 um line width, it is very important to keep down post-CMP micro-scratch and LPDs in case of STI-CMP. Therefore, we must control the size distribution of large particles in a slurry. With optimization of final filter size, CCS system is one of the solutions for this issue. The oxide and nitride CMP tests were accomplished using nano-ceria slurries made by ourselves. The number of large particles in a slurry and the number of LPDs on the wafer surface after CMP were reduced with decrease of the final filter size. Oxide removal rates slightly changed according to the final filter size, showing the good performance of self-made nano ceria slurries.

  • PDF

Fabrication of Silica Nanoparticles by Recycling EMC Waste from Semiconductor Molding Process and Its Application to CMP Slurry (반도체 몰딩 공정에서 발생하는 EMC 폐기물의 재활용을 통한 실리카 나노입자의 제조 및 반도체용 CMP 슬러리로의 응용)

  • Ha-Yeong Kim;Yeon-Ryong Chu;Gyu-Sik Park;Jisu Lim;Chang-Min Yoon
    • Journal of the Korea Organic Resources Recycling Association
    • /
    • v.32 no.1
    • /
    • pp.21-29
    • /
    • 2024
  • In this study, EMC(Epoxy molding compound) waste from the semiconductor molding process is recycled and synthesized into silica nanoparticles, which are then applied as abrasive materials contains CMP(Chemical mechanical polishing) slurry. Specifically, silanol precursor is extracted from EMC waste according to the ultra-sonication method, which provides heat and energy, using ammonia solution as an etchant. By employing as-extracted silanol via a facile sol-gel process, uniform silica nanoparticles(e-SiO2, experimentally synthesized SiO2) with a size of ca. 100nm are successfully synthesized. Through physical and chemical analysis, it was confirmed that e-SiO2 has similar properties compared to commercially available SiO2(c-SiO2, commercially SiO2). For practical CMP applications, CMP slurry is prepared using e-SiO2 as an abrasive and tested by polishing a semiconductor chip. As a result, the scratches that are roughly on the surface of the chip are successfully removed and turned into a smooth surface. Hence, the results present a recycling method of EMC waste into silica nanoparticles and the application to high-quality CMP slurry for the polishing process in semiconductor packaging.

Mixed Nano Silica Colloidal Slurry for Reliability Improvement of Sapphire Wafer CMP Process (사파이어 웨이퍼 CMP 공정 신뢰성 향상을 위한 혼합 나노실리카 콜로이달 슬러리)

  • Chung, Chan Hong
    • Journal of Applied Reliability
    • /
    • v.14 no.1
    • /
    • pp.11-19
    • /
    • 2014
  • A colloidal silica slurry has been manufactured by mixing nano silica powders having different grain size to improve the reliability of Sapphire wafer CMP process. The main reliability problem of CMP process such as the breaking of wafer can be prevented by reducing the size of particles in a slurry. While existing commercial colloidal silica slurries are usually made of single grain size silica powder of about 120nm, in the present study 40nm and 100nm silica powders are mixed to achieve a similar removal rate. The new colloidal silica slurry showed wafer removal rate of $3.04{\mu}m/120min$ while that of a commercial colloidal silica slurry was $3.03{\mu}m/120min$. The roughness was less than $4{\AA}$ and scratch was 0. It is also expected that the reduction of the size of nano silica particles can improve the dispersion stability and prolong the useful life of the slurry.

Effect of Anionic Polyelectrolyte on Alumina Dispersions for Ru Chemical Mechanical Polishing

  • Venkatesh, R. Prasanna;Victoria, S. Noyel;Kwon, Tae-Young;Park, Jin-Goo
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2011.10a
    • /
    • pp.24.2-24.2
    • /
    • 2011
  • Ru is used as a bottom electrode capacitor in dynamic random access memories (DRAMs) and ferroelectric random access memories (FRAMs). The surface of the Ru needs to be planarized which is usually done by chemical mechanical polishing (CMP). Ru CMP process requires chemical slurry consisting of abrasive particles and oxidizer. A slurry containing NaIO4 and alumina particles is already proposed for Ru CMP process. However, the stability of the slurry is critical in the CMP process since if the particles in the slurry get agglomerated it would leave scratches on the surface being planarized. Thus, in the present work, the stability behavior of the slurry using a suitable anionic polyelectrolyte is investigated. The parameters such as slurry pH, polyelectrolyte concentration, adsorption time and the sequence of addition of chemicals are optimized. The results show that the slurry is stable for longer time at an optimized condition. The polishing behavior of the Ru using the optimized slurry is also investigated.

  • PDF