• Title/Summary/Keyword: CMP Slurry

Search Result 364, Processing Time 0.159 seconds

Effects of Large Particles and Filter Size in Central Chemical Supplying(CCS) System for STI-CMP on Light Point Defects (LPDs) (STI-CMP용 세리아 슬러리 공급시스템에서 거대입자와 필터 크기가 Light Point Defects (LPDs)에 미치는 영향)

  • 이명윤;강현구;박진형;박재근;백운규
    • Journal of the Semiconductor & Display Technology
    • /
    • v.3 no.4
    • /
    • pp.45-49
    • /
    • 2004
  • We examined large particles and filter size effects of Central Chemical Supplying (CCS) system for STI-CMP on Light Point Defects (LPDs) after polishing. As manufacturing process recently gets thinner below 0.1 um line width, it is very important to keep down post-CMP micro-scratch and LPDs in case of STI-CMP. Therefore, we must control the size distribution of large particles in a slurry. With optimization of final filter size, CCS system is one of the solutions for this issue. The oxide and nitride CMP tests were accomplished using nano-ceria slurries made by ourselves. The number of large particles in a slurry and the number of LPDs on the wafer surface after CMP were reduced with decrease of the final filter size. Oxide removal rates slightly changed according to the final filter size, showing the good performance of self-made nano ceria slurries.

  • PDF

Particle induced micro-scratch in CMP process (Particle 입자에 의한 CMP 마이크로 스크래치 발생 규명)

  • Hwang, Eung-Rim;Kim, Hyung-Hwan;Lee,, Hoon;Pyi, Seung-Ho;Choi, Bong-Ho
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.40-41
    • /
    • 2005
  • In this study, we proposed CMP micro-scratches generated by contaminative particle which existed on the wafer surface prior to CMP process. The CMP micro-scratches are one of the slurry abrasive related damage. To reduce the micro-scratches, research efforts have been devoted to the optimization of slurry abrasive size distribution. In addition of slurry abrasive, it was found that contaminative particles also were major CMP micro-scratch source.

  • PDF

An Optimization of Tungsten Plug Chemical Mechanical Polishing(CMP) using the Different Sets of Slurry and Pad (슬러리와 패드변화에 따른 텅스텐 플러그 CMP 공정의 최적화)

  • 김상용;서용진;이우선;이강현;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.13 no.7
    • /
    • pp.568-574
    • /
    • 2000
  • We have been optimized tungsten(W) plug CMP(chemical mechanical polishing) characteristics using two different kinds of component of slurry and two different kinds of pad which have different hardness. The comparison of oxide film roughness on around W plug after polishing has been carried out. And W plug recess for consumable sets and dishing effect at dense area according to the rate of over-polishing has been investigated. Also the analysis of residue on surface after cleaning have been performed. As a experimental result we have concluded that the consumable set of slurry A and hard pad was good for W plug CMP process. After decreasing the rate of chemical reaction of silica slurry and adding two step buffering we could reduce the expanding of W plug void however we are still recognizing to need a more development for those kinds of CMP consumables.

  • PDF

Evaluation of Al CMP Slurry based on Abrasives for Next Generation Metal Line Fabrication (연마제 특성에 따른 차세대 금속배선용 Al CMP (chemical mechanical planarization) 슬러리 평가)

  • Cha, Nam-Goo;Kang, Young-Jae;Kim, In-Kwon;Kim, Kyu-Chae;Park, Jin-Goo
    • Korean Journal of Materials Research
    • /
    • v.16 no.12
    • /
    • pp.731-738
    • /
    • 2006
  • It is seriously considered using Al CMP (chemical mechanical planarization) process for the next generation 45 nm Al wiring process. Al CMP is known that it has a possibility of reducing process time and steps comparing with conventional RIE (reactive ion etching) method. Also, it is more cost effective than Cu CMP and better electrical conductivity than W via process. In this study, we investigated 4 different kinds of slurries based on abrasives for reducing scratches which contributed to make defects in Al CMP. The abrasives used in this experiment were alumina, fumed silica, alkaline colloidal silica, and acidic colloidal silica. Al CMP process was conducted as functions of abrasive contents, $H_3PO_4$ contents and pressures to find out the optimized parameters and conditions. Al removal rates were slowed over 2 wt% of slurry contents in all types of slurries. The removal rates of alumina and fumed silica slurries were increased by phosphoric acid but acidic colloidal slurry was slightly increased at 2 vol% and soon decreased. The excessive addition of phosphoric acid affected the particle size distributions and increased scratches. Polishing pressure increased not only the removal rate but also the surface scratches. Acidic colloidal silica slurry showed the highest removal rate and the lowest roughness values among the 4 different slurry types.

화학기계적 연마 가공에서의 윤활 특성 해석

  • 박상신;조철호;안유민
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 1998.10a
    • /
    • pp.272-277
    • /
    • 1998
  • Chemical-Mechanical Polishing (CMP) refers to a material removal process done by rubbing a work piece against a polishing pad under load in the presence of chemically active, abrasive containing slurry. CMP process is a combination of chemical dissolution and mechanical action. The mechanical action of CMP involves tribology. The liquid slurry is trapped between the wafer(work piece) and pad(tooling) forming a lubricating film. For the first step to understand material removal rate of the CMP process, the lubricational analyses were done with commercial 100mm diameter silicon wafers to get nominal clearance of the slurry film, roll and pitch angle at the steady state. For this purpose, we calculate slurry pressure, resultant forces and moments at the steady state in the range of typical industrial polishing conditions.

  • PDF

Recycling Characteristics of Silica Abrasive Slurry (실리카 슬러리의 재활용 특성)

  • Park, Sung-Woo;Kim, Chul-Bok;Lee, Woo-Sun;Chang, Eui-Goo;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.11a
    • /
    • pp.723-726
    • /
    • 2004
  • In this work, we have studied the CMP characteristics by mixing of original slurry and used slurry in order to investigated the possibility of recycle of used silica slurry. The removal rate and within-wafer non-uniformity (WIWNU) were measured as a function of different slurry composition. Also, we compared the CMP characteristics between self-developed KOH-based silica abrasive slurry and the original slurry. Our experimental results revealed comparable removal rate and good planarity with commercial products.

  • PDF

Effects of Consumable on STI-CMP Process (STI-CMP 공정에서 Consumable의 영향)

  • 김상용;박성우;정소영;이우선;김창일;장의구;서용진
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11a
    • /
    • pp.185-188
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process is widely used for global planarization of inter-metal dielectric (IMD) layer and inter-layer dielectric (ILD) for deep sub-micron technology. However, as the IMD and ILD layer gets thinner, defects such as micro-scratch lead to severe circuit failure, which affect yield. In this paper, for the improvement of CMP Process, deionized water (DIW) pressure, purified $N_2$ (P$N_2$) gas, slurry filter and high spray bar were installed. Our experimental results show that DIW pressure and P$N_2$ gas factors were not related with removal rate, but edge hot-spot of patterned wafer had a serious relation. Also, the filter installation in CMP polisher could reduce defects after CMP process, it is shown that slurry filter plays an important role in determining consumable pad lifetime. The filter lifetime is dominated by the defects. However, the slurry filter is impossible to prevent defect-causing particles perfectly. Thus, we suggest that it is necessary to install the high spray bar of de-ionized water (DIW) with high pressure, to overcome the weak-point of slurry filter. Finally, we could expect the improvements of throughput, yield and stability in the ULSI fabrication process.

  • PDF

Study on dispersion stability according to AMP content of CMP ceria slurry for semiconductor (반도체 CMP 용 세리아 슬러리의 AMP 함량에 따른 분산안정성에 관한 연구)

  • Sohee Hwang;JinA Lim;Woonjung Kim
    • Transactions on Semiconductor Engineering
    • /
    • v.2 no.2
    • /
    • pp.1-9
    • /
    • 2024
  • CMP (Chemical Mechanical Polishing) processes have become essential for creating multilayered component structures in semiconductor manufacturing. Typically, the slurry composition in CMP processes involves a balance of three components such as ceria, dispersant, and deionized water. In this study, we conducted research on the stability of ceria slurries using an amphoteric surfactant with controlled concentrations of AMP (2-Amino-2-methyl-1-propanol). The results indicated pH stabilization influenced by carboxylic (-COOH) groups depending on the AMP concentration. Additionally, there was no occurrence of aggregation in the ceria slurry, confirming the absence of dispersion stability issues.