• Title/Summary/Keyword: CMP Characteristics

Search Result 213, Processing Time 0.026 seconds

Chemical Mechanical Polishing (CMP) Characteristics of Ferroelectric Film (강유전체막의 CMP 연마 특성)

  • Seo, Y.J.;Park, S.W.;Kim, K.T.;Kim, C.I.;Chang, E.G.;Kim, S.Y.;Lee, W.S.
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.11a
    • /
    • pp.140-143
    • /
    • 2003
  • BST thin films have a good thermal-chemical stability, insulating effect and variety of phases. However, BST thin films have problems of the aging effect and mismatch between the BST thin film and electrode. Also, due to the high defect density and surface roughness at grain boundarys and in the grains, which degrades the device performances. In order to overcome these weakness, we first applied the chemical mechanical polishing (CMP) process to the polishing of ferroelectric film in order to obtain a good planarity of electrode/ferroelectric film interface. BST ferroelectric film was fabricated by the sol-gel method. And then, we compared the structural characteristics before and after CMP process of BST films. We expect that our results will be useful promise of global planarization for FRAM application in the near future.

  • PDF

The Study on the CMP of Transparent Conductive ITO Thin Films for the Organic Electro-Luminescence Display (유기 전계 발광 디스플레이용 ITO 투명 전도성 박막의 CMP에 관한 연구)

  • Jo, Seong-Hwan;Kim, Hyeong-Jae;Kim, Gyeong-Jun;Jeong, Hae-Do
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.26 no.5
    • /
    • pp.976-985
    • /
    • 2002
  • The purpose of this paper is that the roughness(Rrms = 31$\AA$, Rp-v = 270$\AA$) of ITO thin film deposited by sputtering method for OELD is improved to Rrms $\leq$ 10$\AA$, Rp-v $\leq$ 80$\AA$ by chemical mechanical polishing(CMP). First, ITO thin films are polished with a variety of consumables (Pads, Slurries) to choose proper some for the roughness improvement and the CMP mechanism of ITO thin films is demonstrated on the ground of the experiment results. Henceforth, the CMP characteristics (Removal rate, Non-uniformity) of chosen consumables are evaluated according to processing conditions (Polishing pressures, Table velocities) and suitable conditions for ITO film CMP are selected. Finally, the electrical and optical properties (Sheet resistance, Transmittance) of ITO thin films are investigated to verify whether or not ITO thin film are still suitable for OELD after polished.

A Study on the recycle of CMP Slurry Abrasives (CMP 슬러리 연마제의 재활용에 대한 연구)

  • Lee, Kyoung-Jin;Kim, Gi-Uk;Park, Sung-Woo;Choi, Woon-Shik;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.05d
    • /
    • pp.109-112
    • /
    • 2003
  • Recently, CMP (Chemical mechanical polishing) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, COO (cost of ownership) and COC (cost of consumables) were relatively increased because of expensive slurry. In this paper, we have studied the possibility of recycle of reused silica slurry in order to reduce the costs of CMP slurry. Also, we have collected the silica abrasive powders by filtering after subsequent CMP process for the purpose of abrasive particle recycling. And then, we annealed the collected abrasive powders to promote the mechanical strength of reduced abrasion force. Finally, we compared the CMP characteristics between self-developed KOH-based silica abrasive slurry and original slurry. As our experimental results, we obtained the comparable removal rate and good planarity with commercial products. Consequently, we can expect the saving of high cost slurry.

  • PDF

Aging effect of annealed oxide CMP slurry (열처리된 산화막 CMP 슬러리의 노화 현상)

  • Lee, Woo-Sun;Shin, Jae-Wook;Choi, Kwon-Woo;Ko, Pil-Ju;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.335-338
    • /
    • 2003
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-layer dielectrics (ILD). Especially, defects such as micro-scratch lead to severe circuit failure which affect yield. CMP slurries can contain particles exceeding $1\;{\mu}m$ in size, which could cause micro-scratch on the wafer surface. In this paper, we have studied aging effect the of CMP sin as a function of particle size. We prepared and compared the self-developed silica slurry by adding of abrasives before and after annealing. As our preliminary experiment results, we could be obtained the relatively stable slurry characteristics comparable to original silica slurry in the slurry aging effect.

  • PDF

Role of Oxidants for Metal CMP Applications (금속 CMP 적용을 위한 산화제의 역할)

  • 서용진;김상용;이우선
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.4
    • /
    • pp.378-383
    • /
    • 2004
  • Tungsten is widely used as a plug for the multi-level interconnection structures. However, due to the poor adhesive properties of tungsten(W) on SiO$_2$ layer, the Ti/TiN barrier layer is usually deposited onto SiO$_2$ for increasing adhesion ability with W film. Generally, for the W-CMP(chemical mechanical polishing) process, the passivation layer on the tungsten surface during CMP plays an important role. In this paper, the effect of oxidant on the polishing selectivity of W/Ti/TiN layer was investigated. The alumina(A1$_2$O$_3$)-based slurry with $H_2O$$_2$ as the oxidizer was used for CMP applications. As an experimental result, for the case of 5 wt% oxidizer added, the removal rates were improved and polishing selectivity of 1.4:1 was obtained. It was also found that the CMP characteristics of W and Ti metal layer including surface roughness were strongly dependent on the amounts of $H_2O$$_2$ oxidizer.

Investigation of Thermal Behavior Characteristic in Chemical Mechanical Polishing Performance (CMP 결과에 영향을 미치는 열적거동 특성에 관한 연구)

  • Jeong, Young-Seok;Kim, Hyoung-Jae;Choi, Jae-Young;Kim, Goo-Youn;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07b
    • /
    • pp.1283-1287
    • /
    • 2004
  • The design rules are being more strict with requirement of operation speed and development of IC industry. For this reason, required minimum line-width has been narrowed under sub-micron region. As the length of minimum line-width is narrowed, local and global planarization are being prominent. CMP(Chemical-Mechanical Polishing), one of the planarizarion technology, is a process which polishes with the ascent of chemical reaction and relative velocity between pad and wafer without surface defects. CMP is performed with a complex interaction among many factors, how CMP has an interaction with such factors is not evident. Accordingly, the studies on this are still carrying out. Therefore, an examination of the CMP phenomena and an accurate understanding of compositive factors are urgently needed. In this paper, we will consider of the relations between the effects of temperature which influences many factors having an effect on polishing results and the characteristics of CMP in order to understand and estimate the influence of temperature. Then, through the interaction of shown temperature and polishing result, we could expect to boost fundamental understanding on complex CMP phenomena.

  • PDF

Analysis of Cu CMP according to Corrosion Inhibitor Concentration (Cu CMP에서 Corrosion Inhibitor에 의한 연마 특성 분석)

  • Joo, Suk-Bae;Lee, Hyun-Seop;Kim, Young-Min;Cho, Han-Chul;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.113-113
    • /
    • 2008
  • Cu CMP (Chemical Mechanical Planarization) has been used to remove copper film and obtain a planar surface which is essential for the semiconductor devices. Generally, it is known that chemical reaction is a dominant factor in Cu CMP comparing to Silicon dioxide CMP. Therefore, Cu CMP slurry has been regarded as an important factor in the entire process. This investigation focused on understanding the effect of corrosion inhibitor on copper surface and CMP results. Benzotriazole (BTA) was used as a corrosion inhibitor in this experiment. For the surface analysis, electrochemical characteristics of Cu was measured by a potentiostat and surface modification was investigated by X-ray photoelectron spectroscopy (XPS). As a result, corrosion potential (Ecorr) increased and nitrogen concentration ratio on the copper surface also increased with BTA concentration. These results indicate that BTA prevents Cu surface from corrosion and forms Cu-BTA layer on Cu surface. CMP results are also well matched with these results. Material removal rate (MRR) decreased with BTA concentration and static etch rate also showed same trend. Consequently, adjustment of BTA concentration can give us control of step height variation and furthermore, this can be applicable for Cu pattern CMP.

  • PDF

Effect of pattern spacing and slurry types on the surface characteristics in 571-CMP process (STI-CMP공정에서 표면특성에 미치는 패턴구조 및 슬러리 종류의 효과)

  • Lee, Hoon;Lim, Dae-Soon;Lee, Sang-Ick
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 2002.05a
    • /
    • pp.272-278
    • /
    • 2002
  • Recently, STI(Shallow Trench Isolation) process has attracted attention for high density of semiconductor device as a essential isolation technology. In this paper, the effect of pattern density, trench width and selectivity of slurry on dishing in STI CMP process was investigated by using specially designed isolation pattern. As trench width increased, the dishing tends to increase. At $20{\mu}m$ pattern size, the dishing was decreased with increasing pattern density Low selectivity slurry shows less dishing at over $160{\mu}m$ trench width, whereas high selectivity slurry shows less dishing at below $160{\mu}m$ trench width.

  • PDF

A study on the Oxide CMP Characteristics using New Abrasive (새로운 연마제를 이용한 Oxide CMP 특성에 관한 연구)

  • Han, Sung-Min;Han, Sang-Jun;Park, Sung-Woo;Lee, Woo-Sun;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.11a
    • /
    • pp.378-379
    • /
    • 2006
  • CMP(chemical mechanical polishing) process has been attracted as an essential technology of multi-level interconnection. However, the COO(cost of ownership) is very high, because of high consumable cost. Especially, among the consumables, slurry dominates more than 40 %. So, we focused how to reduce the consumption of raw slurry. In this paper, $ZrO_2$, $CeO_2$, and $MnO_2$ abrasives were added de-ionized water (DIW) and pH control as a function of KOH contents. We have investigate the possibility of new abrasive for the oxide CMP application.

  • PDF

A Study on a Wet etching of ILD (Interlayer Dielectric) Film Wafer (습식 에칭에 의한 웨이퍼의 층간 절연막 가공 특성에 관한 연구)

  • 김도윤;김형재;정해도;이은상
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 1997.10a
    • /
    • pp.935-938
    • /
    • 1997
  • Recently, the minimum line width shows a tendency to decrease and the multi-level increase in semiconductor. Therefore, a planarization technique is needed and chemical mechanical polishing(CMP) is considered as one of the most suitable process. CMP accomplishes a high polishing performance and a global planarization of high quality. But there are several defects in CMP such as micro-scratches, abrasive contaminations, and non-uniformity of polished wafer edges. Wet etching include of Spin-etching can improve he defects of CMP. It uses abrasive-free chemical solution instead of slurry. On this study, ILD(INterlayer-Dielectric) was removed by CMP and wet-etching methods in order to investigate the superiority of wet etching mechanism. In the thin film wafer, the results were evaluated at a viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU). And pattern step height was also compared for planarization characteristics of the patterned wafer.

  • PDF