• 제목/요약/키워드: 나노기판

검색결과 849건 처리시간 0.024초

폴리이미드 기판에 극저온 Catalytic-CVD로 제조된 니켈실리사이드와 실리콘 나노박막 (Nano-thick Nickel Silicide and Polycrystalline Silicon on Polyimide Substrate with Extremely Low Temperature Catalytic CVD)

  • 송오성;최용윤;한정조;김건일
    • 대한금속재료학회지
    • /
    • 제49권4호
    • /
    • pp.321-328
    • /
    • 2011
  • The 30 nm-thick Ni layers was deposited on a flexible polyimide substrate with an e-beam evaporation. Subsequently, we deposited a Si layer using a catalytic CVD (Cat-CVD) in a hydride amorphous silicon (${\alpha}$-Si:H) process of $T_{s}=180^{\circ}C$ with varying thicknesses of 55, 75, 145, and 220 nm. The sheet resistance, phase, degree of the crystallization, microstructure, composition, and surface roughness were measured by a four-point probe, HRXRD, micro-Raman spectroscopy, FE-SEM, TEM, AES, and SPM. We confirmed that our newly proposed Cat-CVD process simultaneously formed both NiSi and crystallized Si without additional annealing. The NiSi showed low sheet resistance of < $13{\Omega}$□, while carbon (C) diffused from the substrate led the resistance fluctuation with silicon deposition thickness. HRXRD and micro-Raman analysis also supported the existence of NiSi and crystallized (>66%) Si layers. TEM analysis showed uniform NiSi and silicon layers, and the thickness of the NiSi increased as Si deposition time increased. Based on the AES depth profiling, we confirmed that the carbon from the polyimide substrate diffused into the NiSi and Si layers during the Cat-CVD, which caused a pile-up of C at the interface. This carbon diffusion might lessen NiSi formation and increase the resistance of the NiSi.

상온진공 과립분사에 의한 지르코니아 필름의 코팅거동 (Coating behavior of zirconia film fabricated by granule spray in vacuum)

  • ;강영림;박운익;박동수;박찬
    • 한국결정성장학회지
    • /
    • 제32권5호
    • /
    • pp.205-211
    • /
    • 2022
  • GSV (Granule Spray in Vacuum)는 상온의 진공하에서 나노 크기의 치밀한 세라믹 코팅층을 형성하는 방법이다. 일반적으로, 단사정의 지르코니아는 1150℃에서 정방정으로 변태하며, 이때 6.5 %의 체적변화를 일으켜 치밀한 단사정의 지르코니아를 만들기 어렵다. 본 연구에서는 코팅 효율에 대한 두 가지 처리 변수의 효과를 조사하는 데 중점을 두었다. 아울러, 특별한 가열과정 없이 형성된 필름의 미세구조에 관찰하였다. 샘플 기판에 증착된 지르코니아 필름에 대한 X-ray diffractometer (XRD) 분석은 단사정 지르코니아 필름이 성공적으로 증착되었음을 보여주었다.

미스트 화학기상증착법을 이용한 c면, a면, m면, r면 사파이어 기판 위의 산화갈륨 박막 성장 연구 (Growth of Gallium Oxide Thin Film on c-, a-, m-, r-Plane Sapphire Substrates Using Mist Chemical Vapor Deposition System )

  • 성기려;조성호;김경호;신윤지;정성민;김태규;배시영
    • 한국전기전자재료학회논문지
    • /
    • 제36권1호
    • /
    • pp.74-80
    • /
    • 2023
  • Gallium oxide (Ga2O3) thin films were grown on c-, a-, m-, r-plane sapphire substrates using a mist chemical vapor deposition system. Various growth temperature range of 400~600℃ was applied for Ga2O3 thin film deposition. Then, several structural properties were characterized such as film thickness, crystal phase, lattice orientation, surface roughness, and optical bandgap. Under the certain growth temperature of 500℃, all grown Ga2O3 featured rhombohedral crystal structures and well-aligned preferred orientation to sapphire substrate. The films grown on c-and r-plane sapphire substrates, showed low surface roughness and large optical bandgap compared to those on a-and m-plane substrates. Therefore, various sapphire orientation can be potentially applicable for future Ga2O3-based electronics applications.

MEMS 공정에서의 자기 조립 단분자층 기술 응용 (Applications of Self-assembled Monolayer Technologies in MEMS Fabrication)

  • 이우진;이승민;강승균
    • 마이크로전자및패키징학회지
    • /
    • 제30권2호
    • /
    • pp.13-20
    • /
    • 2023
  • 마이크로 전자기계 시스템 공정에서 표면 처리는 공정 방법의 일환이자 디바이스에 자체적인 기능을 부여하는 역할을 한다. 특히 자기 조립 단분자층은 마이크로 전자기계 시스템 공정에서 표면 개질 및 기능화를 수행하는 표면처리 방법으로 침지 시간과 용액 농도에 따라 강도를 정밀하게 조절할 수 있는 유기 단분자막이다. 고분자 기판이나 금속/세라믹 부품에 자발적으로 흡착되어 형성되는 자기 조립 단분자층은 표면 특성의 개질 뿐만 아니라 나노스케일 단위의 높은 정밀도로 하여금 양산용 리소그래피 기술 및 초민감 유기/생체분자 센서에도 응용되고 있다. 본 논문에서는 마찰 특성의 조절부터 생체 분자의 탐침 기능까지 자기 조립 단분자층 기술이 발전되어 응용되고 있는 다양한 분야들에 대해 소개한다.

박테리아 셀룰로오스 기반 전도성 막의 전도도 향상을 위한 PEDOT:PEG와 황산혼합액 코팅의 영향 (Effect of Coating with the Mixture of PEDOT:PEG and Sulfuric Acid to Enhance Conductivity of Bacterial Cellulose Platform Film)

  • 임은채;김성준
    • Korean Chemical Engineering Research
    • /
    • 제54권1호
    • /
    • pp.114-119
    • /
    • 2016
  • 본 연구에서는 박테리아 셀룰로오스(BC)와 같은 천연고분자에 전도성 고분자 PEDOT:PEG와 graphene, 은나노와이어(AgNW)를 코팅하여 전도성을 부여하고자 하였다. 미리 PEDOT:PEG와 황산을 10~20%를 혼합하여 그 용액을 전자 스핀 코팅으로 BC 기판에 코팅하였다. 그 후, 전도성을 향상시키고자 graphene과 AgNW로 코팅하여 hall effect로 측정하였다. 그 결과, 대조군 PEDOT:PEG로 코팅한 BC 막의 전자농도($2.487{\times}10^{10}/cm^3$)에 비해 PEDOT:PEG에 황산을 10%로 혼합하여 코팅시킨 BC막($8.093{\times}10^{15}/cm^3$) 쪽이 $3.25{\times}10^5$배 높은 값을 나타내는 것으로 전도도가 대폭 향상되었음을 알 수 있었다. 또한, SEM분석으로 PEDOT:PEG가 황산처리에 의해 폴리머 형상으로 변화된 것을 확인 할 수 있었다. 분자구조의 변화를 FTIR분석결과 $1200cm^{-1}$ 파장의 S-O그룹이 황산처리 전에 비해 황산 혼합한 쪽에서 크게 상승된 것이 확인되었다. 이 방법을 이용하여 소량의 PEDOT:PEG사용으로 투명성을 확보할 수 있으며 미리 황산을 처리하는 것으로 제조공정을 단순하게 할 것으로 사료된다.

그래핀 표면 접착력을 이용한 전주도금 공정

  • 노호균;박미나;이승민;배수강;김태욱;하준석;이상현
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2016년도 제50회 동계 정기학술대회 초록집
    • /
    • pp.131-131
    • /
    • 2016
  • 기원전 5000년 이집트에서부터 시작된 도금은 시간이 지남에 따라 점점 발전하여, 1900년대에 들어 전기를 이용한 도금공정이 개발되었고, 현재 뿌리산업으로써 각종 제조업에 널리 이용되고 있다. 도금 공정은 금속을 부식으로부터 보호하고, 제품의 심미성과 기능성, 생산성 등을 높이기 위해 주로 이용된다. 전주도금 공정은 완벽하게 동일한 형태의 생산품을 다량으로 제작 할 수 있기 때문에, 그 높은 생산성으로 주목 받고 있다. 특히, 나노/마이크로 크기의 정밀 소자 등을 가공하는 차세대 기술인 LIGA공정과 접목이 가능하다는 장점이 있다. 몰드를 이용하여 복제하는 방식인 전주 도금은 도금공정이 끝난 후 몰드와 완성된 제품을 분리해내는 추가공정이 필연적으로 발생하게 되는데, 둘 사이의 접착력을 낮추기 위하여 몰드의 표면에 이형박리제를 도포하게 된다. 이형박리제로는 전기가 잘 흐르면서 접착력이 낮은 이산화 셀렌이나 중크롬산이 주로 이용되지만, 원활한 박리를 위해서는 그 두께가 30 um 이상 확보되어야 하기 때문에 정밀한 미세구조 전주도금이 어렵다는 문제점이 있다. 또한 이와 같은 화학 약품들은 매우 유독하기 때문에 추가적인 폐수 처리 공정이 필요하며, 작업자의 안전을 위협하고 심각한 환경 오염을 초래한다는 추가적인 문제가 발생한다. 따라서, 매우 얇고 친 환경적이며 안전한 전주도금 이형박리제에 대한 연구가 요구되고 있다. 본 연구에서는 전주도금 몰드로 사용한 구리의 표면에 TCVD를 이용하여 단일 층 그래핀을 성장시킨 후, 그래핀이 코팅된 몰드에 구리를 전주도금하여 박리하였다. 박리 후 그래핀은 몰드에 손상 없이 남아있는 것을 Raman microscopy를 통해서 확인하였고, 몰드와 그래핀 사이의 접착력 (약 $0.71J/m^2$)에 비해 그래핀과 전주도금 샘플간에 낮은 접착력 (약 $0.52J/m^2$)을 갖는 것을 확인하였다. 이와 같이 낮은 접착력을 통해 박리 시 표면구조의 손상 없이 정밀한 구조의 미세 패턴구조를 형성할 수 있었다. 전주도금을 이용한 전극 형성과 고분자와의 융합을 통해 유연기판을 제작하여 bending 실험을 진행하였다. $90^{\circ}$의 bending 각도로 10000회 이하에서는 저항의 변화가 없었고, LED chip을 mounting한 후 곡률반경 4.5 mm까지 bending을 진행하여도 이상 없이 LED가 발광하는 것을 확인하였다. 위와 같은 전주도금 공정을 이용하여 고집적 전자기기, 광학기기, 센서기기 등의 다양한 어플리케이션의 부품제조에 활용될 수 있을 것으로 기대한다.

  • PDF

티타늄 나프테네이트를 이용한 나노결정질 $TiO_2$ 광촉매 박막의 제조 (Preparation of nanocrystalline $TiO_2$ photocatalyst films by using a titanium naphthenate)

  • 이선옥;김상복;윤연흠;강보안;황규석;오정선;양순호;김병훈
    • 한국결정성장학회지
    • /
    • 제12권5호
    • /
    • pp.240-246
    • /
    • 2002
  • 티타늄 나프테네이트를 출발 원료로 사용하고 스핀코팅-열분해법을 이용하여 소다-라임-실리카 슬라이드 유리기판 위에 $TiO_2$ 박막을 제조하였다. 도포된 박막은 $500^{\circ}C$로 10분간 공기분위기에서 전열처리를 행하였고, 최종 열처리는 500, 550과 $600^{\circ}C$로 30분간 공기분위기에서 각각 행하였다. X-선 회절분석법을 이용하여 박막의 결정화도를 조사하였고, 전계 방출 주사형 전자 현미경과 원자간력 현미경을 이용하여 $TiO_2$ 박막의 표면미세구조와 표면 거칠기를 조사하였다. 550 과 $600^{\circ}C$로 최종 열처리한 박막의 X-선 회절분석 결과, 아나타제 상만이 존재하였다. 500과 $550^{\circ}C$로 열처리한 박막의 표면은 균질하였으나, 열처리온도가 $600^{\circ}C$로 증가함에 따라서, 박막의 표면에는 바늘모양의 상이 3차원적으로 성장하였다. 모든 박막에 있어서 가시영역에서의 투과율은 500nm에서 90% 이상의 높은 값을 나타냈다. 박막의 광촉매특성을 조사하기 위하여 stearic acid가 코팅된 박막에 365nm 파장의 UV를 2.4mW/$\textrm{cm}^2$의 강도로 조사하여 C-H모드에 대한 IR 흡수단의 변화를 관찰하였다.

펄스레이져 증착법을 이용한 Pb$ZrO_3$/Pb$TiO_3$ 산화물 인공격자의 성장 및 전기적 특성 (Growth of artificial Pb$ZrO_3$/Pb$TiO_3$ superlattices by pulsed laser deposition and their electrical properties)

  • 최택집;이광열;이재찬
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 추계학술발표강연 및 논문개요집
    • /
    • pp.54-54
    • /
    • 2003
  • 최근 새로운 개념에 물성 구현을 위한 강유전체 산화물 인공격자의 연구가 활발히 진행되고 있다. 본 연구에서는 펄스레이져 증착법을 이용하여 산소분압 100 mTorr와 증착온도 50$0^{\circ}C$에서 LSCO/MgO 기판 위에 PbTiO$_3$(PTO) 와 PbZrO$_3$(PZO)을 주기적으로 적층하여 강유전체 산화물 인공격자를 형성하였다. 인공격자의 주기는 1~100 unitcell 까지 변화시켰다. 적층주기와 두께 변화에 따른 PZO/PTO 인공격자의 성장과 전기적 특성에 대하여 관찰하였다. X선 회절분석을 통하여 PZO/PTO 인공격자는 주기가 25 unit cell 이하의 적층구조에서 초격자의 형성으로 인한 위성피크가 관찰되었으며, 그 이하의 낮은 주기(1~10 unitcell)에서는 위성피크와 강한 (100)과 (200) 성장거동을 보였다. 높은 주기에서는 c축 성장된 PTO와 a축 성장된 PZO 각각의 성장거동을 보였다. 적층 주기가 감소함에 따라 a축 성장된 PTO와 c축 성장된 PZO가 초격자를 형성하였다. 적층주기가 감소함에 따라 유전상수와 잔류분극값이 향상되었다. 유전상수는 1 unitcell 주기에서 800정도의 값을 보였고, 잔류분극값은 2 unitcell 주기에서 2Pr=38.7 $\mu$C/$\textrm{cm}^2$ 정도의 가장 큰 값을 나타냈다. 적층주기가 2 unitcell에서 두께가 감소함에 따라 유전상수가 감소하였고, 20 nm 까지 분극반전에 의한 capacitance-voltage 특성곡선의 이력 현상(강유전성)을 관찰하였다. 이러한 산화물 인공초격자에서의 유전상수와 잔류분극값의 향상에 대하여 논의 할 것이며, 임계크기효과 관점에서 나노사이즈(50 nm~5 nm)에서 인공초격자의 전기적 분극의 안정성에 대하여 또한 논의 할 것이다.소수성 가스의 경우70% 이상 향상되었음을 알 수 있었으며, 본 연구를 통해 광분해를 이용한 스크러버가 기존설비의 장.단점을 충분히 보완 가능한 환경 설비임을 확인할 수 있었다. duty로 구동하였으며, duty비 증가에 따라 pulse의 on-time을 고정하고 frequency를 변화시켰다. dc까지 duty비가 증가됨에 따라 방출전류의 양이 선형적으로 증가하였다. 전압을 일정하게 고정시키고 각 duty비에서 시간에 따라 방출전류를 측정한 결과 duty비가 높을수록 방출전류가 시간에 따라 급격히 감소하였다. 각 duty비에서 방출전류의 양이 1/2로 감소하는 시점을 에미터의 수명으로 볼 때 duty비 대 에미터 수명관계를 구해 높은 duty비에서 전계방출을 시킴으로써 실제의 구동조건인 낮은 duty비에서의 수명을 단시간에 예측할 수 있었다. 단속적으로 일어난 것으로 생각된다.리 폐 관류는 정맥주입 방법에 비해 고농도의 cisplatin 투여로 인한 다른 장기에서의 농도 증가 없이 폐 조직에 약 50배 정도의 고농도 cisplatin을 투여할 수 있었으며, 또한 분리 폐 관류 시 cisplatin에 의한 직접적 폐 독성은 발견되지 않았다이 낮았으나 통계학적 의의는 없었다[10.0%(4/40) : 8.2%(20/244), p>0.05]. 결론: 비디오흉강경술에서 재발을 낮추기 위해 수술시 폐야 전체를 관찰하여 존재하는 폐기포를 놓치지 않는 것이 중요하며, 폐기포를 확인하지 못한 경우와 이차성 자연기흉에 대해서는 흉막유착술에 더 세심한 주의가 필요하다는 것을 확인하였다. 비디오흉강경수술은 통증이 적고, 입원기간이 짧고, 사회로의 복귀가 빠르며, 고위험군에 적용할 수 있고, 무엇보다도 미용상의 이점이 크다는 면에서 자연기흉에 대해 유용한 치료방법임에는 틀림이 없으나 개흉술에 비해 재발율이 높고 비용이 비싸다는 문제가 제기되고 있는 만큼 더 세심한 주의와 장기 추적관찰이 필요하리라 사료된

  • PDF

금속 나프텐산염을 이용하여 제조한 ZnO 박막의 광학적 특성 (Optical Property of Zinc Oxide Thin Films Prepared by Using a Metal Naphthenate Precursor)

  • 임용무;정주현;전경옥;전영선;황규석
    • 한국안광학회지
    • /
    • 제10권3호
    • /
    • pp.193-203
    • /
    • 2005
  • Zn-나프텐산염을 출발 원료로 사용하고 스핀코팅 - 열분해법을 이용하여 실리카 유리 위에 c축으로 배향된 나노 결정질 ZnO 박막을 제조하였다. X-선 회절 분석을 행한 결과, 모든 시편에서 ZnO (002) 피크만이 관찰되었으며, 박막의 열처리 온도가 증가함에 따라 (002) 피크 강도가 증가하였다. 박막의 표면 미세 구조는 매우 균질하였으며, 입자들 간의 응집은 관찰되지 않았다. 박막의 topology를 주사형 탐침 현미경으로 분석한 결과에 따르면, 실리카 기판 자체의 불균질한 표면 특성과 ZnO 입자의 c축 배향 특성에 의한 것으로 보이는 3차원적인 입자성장이 모든 열처리 온도 영역에 대해 박막의 표면에서 관찰되었다. 고배향된 박막들 중에서 $800^{\circ}C$로 열처리한 박막의 표면이 가장 균질한 특성을 나타내었다. 박막의 가시영역에서의 투과율은 $1000^{\circ}C$로 열처리한 박막을 제외하고 모든 박막에 있어서 80% 이상의 투과율을 나타냈으며, 380~400nm 영역에서 날카로운 absorption edge가 나타났다. 흡수피크를 이용하여 계산된 오든 박막의 에너지 밴드 캡은 ZnO 단결정 및 다른 연구자들에 의해 보고된 박막과 같은 영역에 존재하였다. 본 연구에서 제조된 ZnO 박막들 중에 치밀한 입자 성장과 균질한 표면 특성을 보이는 $600^{\circ}C{\sim}800^{\circ}C$로 열처리된 박막은 UV차단성 투명전도막 및 렌즈 등의 광학소자에 실질적인 응용이 기대 된다.

  • PDF

다결정 실리콘 기판 위에 형성된 나노급 니켈 코발트 복합실리사이드의 미세구조 분석 (Microstructure Characterization on Nano-thick Nickel Cobalt Composite Silicide on Polycrystalline Substrates)

  • 송오성
    • 한국산학기술학회논문지
    • /
    • 제8권2호
    • /
    • pp.195-200
    • /
    • 2007
  • 최소선폭 $0.1{\mu}m$ 이하의 살리사이드 공정을 상정하여 $10nm-Ni_{0.5}Co_{0.5}/70\;nm-Poly-Si/200\;nm-SiO_2$ 구조로부터 쾌속 열처리를 이용해서 실리사이드 온도를 $600{\sim}1100^{\circ}C$까지 변화시키면서 복합실리사이드를 제조하고 이들의 면저항의 변화와 미세구조의 변화를 면저항 측정기와 TEM 수직단면, 오제이 두께 분석으로 확인하였다. 기존의 동일한 공정으로 제조된 니켈실리사이드에 비해 제안된 니켈 코발트 복합실리사이드는 $900^{\circ}C$까지 저저항을 유지시킬 수 있는 장점이 있었고 20nm 두께의 균일한 실리사이드 층을 폴리실리콘 상부에 형성시킬 수 있었다. 고온 처리시에는 복합실리사이드와 실리콘의 전기적으로 상분리되는 혼합현상으로 고저항 특성이 나타나는 문제를 확인하였다. 제안된 NiCo 합금 박막을 70nm 높이의 폴리실리콘 게이트를 가진 디바이스에 $900^{\circ}C$이하의 실리사이드화 온도에서 효과적으로 산리사이드 공정의 적용이 기대되었다.

  • PDF