• 제목/요약/키워드: tunneling oxide

검색결과 189건 처리시간 0.027초

고효율 결정질 실리콘 태양전지 적용을 위한 실리콘 산화막 표면 패시베이션 (A Review on Silicon Oxide Sureface Passivation for High Efficiency Crystalline Silicon Solar Cell)

  • 전민한;강지윤;;박철민;송진수;이준신
    • 한국전기전자재료학회논문지
    • /
    • 제29권6호
    • /
    • pp.321-326
    • /
    • 2016
  • Minimizing the carrier recombination and electrical loss through surface passivation is required for high efficiency c-Si solar cell. Usually, $SiN_X$, $SiO_X$, $SiON_X$ and $AlO_X$ layers are used as passivation layer in solar cell application. Silicon oxide layer is one of the good passivation layer in Si based solar cell application. It has good selective carrier, low interface state density, good thermal stability and tunneling effect. Recently tunneling based passivation layer is used for high efficiency Si solar cell such as HIT, TOPCon and TRIEX structure. In this paper, we focused on silicon oxide grown by various the method (thermal, wet-chemical, plasma) and passivation effect in c-Si solar cell.

엔지니어드 터널베리어 메모리 적용을 위한 $HfO_2$ 층의 전하 트랩핑 특성 (Charge trapping characteristics of high-k $HfO_2$ layer for tunnel barrier engineered nonvolatile memory application)

  • 유희욱;김민수;박군호;오세만;정종완;이영희;정홍배;조원주
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2009년도 하계학술대회 논문집
    • /
    • pp.133-133
    • /
    • 2009
  • It is desirable to choose a high-k material having a large band offset with the tunneling oxide and a deep trapping level for use as the charge trapping layer to achieve high PIE (Programming/erasing) speeds and good reliability, respectively. In this paper, charge trapping and tunneling characteristics of high-k hafnium oxide ($HfO_2$) layer with various thicknesses were investigated for applications of tunnel barrier engineered nonvolatile memory. A critical thickness of $HfO_2$ layer for suppressing the charge trapping and enhancing the tunneling sensitivity of tunnel barrier were developed. Also, the charge trap centroid and charge trap density were extracted by constant current stress (CCS) method. As a result, the optimization of $HfO_2$ thickness considerably improved the performances of non-volatile memory(NVM).

  • PDF

비휘발성 메모리 적용을 위한 $SiO_2/ZrO_2$ 다층 유전막의 전기적 특성 (Electrical characteristic of stacked $SiO_2/ZrO_2$ for nonvolatile memory application as gate dielectric)

  • 박군호;김관수;오준석;정종완;조원주
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.134-135
    • /
    • 2008
  • Ultra-thin $SiO_2/ZrO_2$ dielectrics were deposited by atomic layer chemical vapor deposition (ALCVD) method for non-volatile memory application. Metal-oxide-semiconductor (MOS) capacitors were fabricated by stacking ultra-thin $SiO_2$ and $ZrO_2$ dielectrics. It is found that the tunneling current through the stacked dielectric at the high voltage is lager than that through the conventional silicon oxide barrier. On the other hand, the tunneling leakage current at low voltages is suppressed. Therefore, the use of ultra-thin $SiO_2/ZrO_2$ dielectrics as a tunneling barrier is promising for the future high integrated non-volatile memory.

  • PDF

플래시메모리를 위한 scaled SONOSFET NVSM 의 프로그래밍 조건과 특성에 관한 연구 (A study on characteristics of the scaled SONOSFET NVSM for Flash memory)

  • 박희정;박승진;홍순혁;남동우;서광열
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2000년도 하계학술대회 논문집
    • /
    • pp.751-754
    • /
    • 2000
  • When charge-trap SONOS cells are used flash memory, the tunneling program/erase condition to minimize the generation of interface traps was investigated. SONOSFET NVSM cells were fabricated using 0.35$\mu\textrm{m}$ standard memory cell embedded logic process including the ONO cell process. based on retrograde twin-well, single-poly, single metal CMOS process. The thickness of ONO triple-dielectric for memory cell is tunnel oxide of 24${\AA}$, nitride of 74 ${\AA}$, blocking oxide of 25 ${\AA}$, respectively. The program mode(Vg: 7,8,9 V, Vs/Vd: -3 V, Vb: floating) and the erase mode(Vg: -4,-5,-6 V, Vs/Vd: floating, Vb: 3V) by modified Fowler-Nordheim(MFN) tunneling were used. The proposed programming condition for the flash memory of SONOSFET NVSM cells showed less degradation($\Delta$Vth, S, Gm) characteristics than channel MFN tunneling operation. Also the program inhibit conditions of unselected cell for separated source lines NOR-tyupe flash memory application were investigated. we demonstrated that the program disturb phenomenon did not occur at source/drain voltage of 1 V∼4 V and gate voltage of 0 V∼4.

  • PDF

터널링 전계효과 트랜지스터 4종류 특성 비교 (Comparative Investigation on 4 types of Tunnel Field Effect Transistors(TFETs))

  • 심언성;안태준;유윤섭
    • 한국정보통신학회논문지
    • /
    • 제21권5호
    • /
    • pp.869-875
    • /
    • 2017
  • 본 연구에서는 TCAD 시뮬레이션을 이용하여 4가지 터널링 전계효과 트랜지스터(Tunnel Field-Effect Transistors; TFETs) 구조에 따른 특성을 조사하였다. 단일게이트 TFET(SG-TFET), 이중게이트 TFET(DG-TFET), L-shaped TFET(L-TFET), Pocket-TFET(P-TFET)의 4가지 TFET를 유전율과 채널 길이를 변화함에 따라서 드레인 전류-게이트전압 특성을 시뮬레이션해서 문턱전압이하 스윙(Subthreshold Swing; SS)과 구동 전류(On-current)면에서 비교하였다. 고유전율을 가지며 라인 터널링을 이용하는 L-TFET 구조와 P-TFET 구조가 포인트 터널링을 이용하는 SG-TFET와 DG-TFET보다 구동전류면에서 10배 이상 증가하였고, SS면에서 20 mV/dec이상 감소하였다. 특히, 고유전율을 가진 P-TFET의 주 전류 메카니즘이 포인트 터널링에서 라인터널링으로 변화하는 험프현상이 사라지면서 SS가 매우 향상되는 것을 보였다. 4가지 TFET 구조의 분석을 통해 포인트터널링을 줄이고 라인터널링을 강조하는 새로운 TFET 구조의 가이드 라인을 제시한다.

Scaled SONOSFET를 이용한 NAND형 Flash EEPROM (The NAND Type Flash EEPROM using the Scaled SCNOSFET)

  • 김주연;김병철;김선주;서광열
    • 대한전기학회논문지:전기물성ㆍ응용부문C
    • /
    • 제49권1호
    • /
    • pp.1-7
    • /
    • 2000
  • The SNOSFET memory devices with ultrathin ONO(tunnel oxide-nitride-blocking oxide) gate dielectric were fabricated using n-well CMOS process and investigated its characteristics. The thicknesses of tunnel oxide, nitride and blocking oxide were $23{\AA},\; 53{\AA}\; and\; 33{\AA}$, respectively. Auger analysis shows that the ONO layer is made up of $SiO_2(upper layer of blocking oxide)/O-rich\; SiO_x\N\_y$. It clearly shows that the converting layer with $SiO_x\N\_y(lower layer of blocking oxide)/N-rich SiO_x\N\_y(nitride)/O-rich SiO_x\N\_y(tunnel oxide)$. It clearly shows that the converting layer with $SiO_x\N\_y$ phase exists near the interface between the blocking oxide and nitride. The programming condition of +8 V, 20 ms, -8 V, 50 ms is determined and data retention over 10 years is obtained. Under the condition of 8 V programming, it was confirmed that the modified Fowler-Nordheim tunneling id dominant charge transport mechanism. The programmed threshold voltage is distributed less than 0.1 V so that the reading error of memory stated can be minimized. An $8\times8$ NAND type flash EEPROM with SONOSFET memory cell was designed and simulated with the extracted SPICE parameters. The sufficient read cell current was obtained and the upper limit of $V_{TH}$ for write state was over 2V.

  • PDF

차세대 메모리 개발 동향(나노 플로팅 게이트 메모리) (Memory Device for the Next Generation(Nano-Floating Gate Memory))

  • 길상철;김현석;김상식
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.199-202
    • /
    • 2004
  • NFGM(Nano-Floating Gate Memory) is a very prospective candidate memory for the next generation with MRAM, PRAM, PoRAM. Among these memory devices for the next generation, NFGM has a lot of merits such as a simple low cost fabrication process, improved retention time, lower operating voltages, high speed program/erase time and so on. Therefore, many intensive researches for NFGM have been performed to improve device performance and reliability, which depends on the ability to control particle size, size distribution, crystallity, areal particle density and tunneling oxide quality. In this paper, we investigate the researches for NFGM up to recently.

  • PDF

Fowler-nordheim 터널링 전자주입에 의한 질화 게이트 산화막의 특성 분석 (Characterizations of nitrided gate oxides by fowler-nordheim tunneling electron injection)

  • 장성수;문성근;노관종;노용한;이칠기
    • 전자공학회논문지D
    • /
    • 제35D권7호
    • /
    • pp.79-87
    • /
    • 1998
  • Nitrided oxides which have been investigated as alternative gate oxide for metal-oxide-semiconductor field effect devices were grown by two-step process using N$_{2}$O gas, and were chaacterized via a fowler-nordheim tunneling(FNT) electron injection technique. Electrical characteristics of nitrided gate oxides were superior to that of control oxides.Further, the FNT electron injection into the nitrided gate oxides reveals that gate oxides degrade more both if electrons were foreced to inject from the gate metal and if thicker nitrided gate oxides were used in the thickness range of 90~130.angs.. Models are suggested to explain these phenomena.

  • PDF

Effect of Double Schottky Barrier in Gallium-Zinc-Oxide Thin Film

  • Oh, Teresa
    • Transactions on Electrical and Electronic Materials
    • /
    • 제18권6호
    • /
    • pp.323-329
    • /
    • 2017
  • This reports the electrical behavior, bonding structure and Schottky contact of gallium-zinc-oxide (GZO) thin film annealed at $100{\sim}400^{\circ}C$. The mobility of GZO with high density of PL spectra and crystal structure was also increased because of the structural matching between GZO and Si substrate of a crystal structure. However, the GZO annealed at $200^{\circ}C$ with an amorphous structure had the highest mobility as a result of a band to band tunneling effect. The mobility of GZO treated at low annealing temperatures under $200^{\circ}C$ increased at the GZO with an amorphous structure, but that at high temperatures over $200^{\circ}C$ also increased when it was the GZO of a crystal structure. The mobility of GZO with a Schottky barrier (SB) was mostly increased because of the effect of surface currents as well as the additional internal potential difference.

비휘발성 메모리를 위한 SiO2와 Si3N4가 대칭적으로 적층된 터널링 절연막의 전기적 특성과 열처리를 통한 특성 개선효과 (Improved Electrical Characteristics of Symmetrical Tunneling Dielectrics Stacked with SiO2 and Si3N4 Layers by Annealing Processes for Non-volatile Memory Applications)

  • 김민수;정명호;김관수;박군호;정종완;정홍배;이영희;조원주
    • 한국전기전자재료학회논문지
    • /
    • 제22권5호
    • /
    • pp.386-389
    • /
    • 2009
  • The electrical characteristics and annealing effects of tunneling dielectrics stacked with $SiO_2$ and $Si_{3}N_{4}$ were investigated. I-V characteristics of band gap engineered tunneling gate stacks consisted of $Si_{3}N_{4}/SiO_2/Si_{3}N_{4}$ (NON), $SiO_2/Si_{3}N_{4}/SiO_2$ (ONO) dielectrics were evaluated and compared with $SiO_2$ single layer using the MOS (metal-oxide-semiconductor) capacitor structure. The leakage currents of engineered tunneling barriers (ONO, NON stacks) are lower than that of the conventional $SiO_2$ single layer at low electrical field. Meanwhile, the engineered tunneling barriers have larger tunneling current at high electrical field. Furthermore, the increased tunneling current through engineered tunneling barriers related to high speed operation can be achieved by annealing processes.