• Title/Summary/Keyword: thermal ALD

Search Result 78, Processing Time 0.027 seconds

Characteristics of TaN Film as to Cu Barrier by PAALD Method (PAALD 방법을 이용한 TaN 박막의 구리확산방지막 특성)

  • 부성은;정우철;배남진;권용범;박세종;이정희
    • Journal of the Semiconductor & Display Technology
    • /
    • v.2 no.2
    • /
    • pp.5-8
    • /
    • 2003
  • In this study, as Cu diffusion barrier, tantalum nitrides were successfully deposited on Si(100) substrate and $SiO_2$ by plasma assisted atomic layer deposition(PAALD) and thermal ALD, using pentakis (ethylmethlyamino) tantalum (PEMAT) and NH$_3$ as precursors. The TaN films were deposited at $250^{\circ}C$ by both method. The growth rates of TaN films were 0.8${\AA}$/cycle for PAALD and 0.75${\AA}$/cycle for thermal ALD. TaN films by PAALD showed good surface morphology and excellent step coverage for the trench with an aspect ratio of h/w -1.8:0.12 mm but TaN films by thermal ALD showed bad step coverage for the same trench. The density for PAALD TaN was 11g/cmand one for thermal ALD TaN was 8.3g/$cm^3$. TaN films had 3 atomic % carbon impurity and 4 atomic % oxygen impurity for PAALD and 12 atomic % carbon impurity and 9 atomic % oxygen impurity for thermal ALD. The barrier failure for Cu(200 nm)/TaN(10 nm)/$SiO_2$(85 nm)/ Si structure was shown at temperature above $700^{\circ}C$ by XRD, Cu etch pit analysis.

  • PDF

PAALD 방법을 이용한 TaN 박막의 구리확산방지막 특성

  • 부성은;정우철;배남진;권용범;박세종;이정희
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2002.11a
    • /
    • pp.14-19
    • /
    • 2002
  • In this study, as Cu diffusion barrier, tantalum nitrides were successfully deposited on Si(100) substrate and SiO2 by plasma assisted atomic layer deposition(PAALD) and thermal ALD, using pentakis (ethylmethlyamino) tantalum (PEMAT) and $NH_3$ as precursors. The TaN films were deposited on $250^{\circ}$C by both method. The growth rates of TaN films were $0.8{\AA}$/cycle for PAALD and $0.75{\AA}$/cycle for thermal ALD. TaN films by PAALD showed good surface morphology and excellent step coverage for the trench with an aspect ratio of h/w - $1.8 : 0.12 \mu\textrm{m}$ but TaN films by thermal ALD showed bad step coverage for the same trench. The density for PAALD TaN was $11g/\textrm{cm}^3$ and one for thermal ALD TaN was $8.3g/\textrm{cm}^3$. TaN films had 3 atomic % carbon impurity and 4 atomic % oxygen impurity for PAALD and 12 atomic % carbon impurity and 9 atomic % oxygen impurity for thermal ALD. The barrier failure for Cu(200nm)/TaN(l0nm)/$SiO_2(85nm)$/Si structure was shown at temperature above $700^{\circ}$C by XRD, Cu etch pit analysis.

  • PDF

Atomic Layer Deposition: Overview and Applications (원자층증착 기술: 개요 및 응용분야)

  • Shin, Seokyoon;Ham, Giyul;Jeon, Heeyoung;Park, Jingyu;Jang, Woochool;Jeon, Hyeongtag
    • Korean Journal of Materials Research
    • /
    • v.23 no.8
    • /
    • pp.405-422
    • /
    • 2013
  • Atomic layer deposition(ALD) is a promising deposition method and has been studied and used in many different areas, such as displays, semiconductors, batteries, and solar cells. This method, which is based on a self-limiting growth mechanism, facilitates precise control of film thickness at an atomic level and enables deposition on large and three dimensionally complex surfaces. For instance, ALD technology is very useful for 3D and high aspect ratio structures such as dynamic random access memory(DRAM) and other non-volatile memories(NVMs). In addition, a variety of materials can be deposited using ALD, oxides, nitrides, sulfides, metals, and so on. In conventional ALD, the source and reactant are pulsed into the reaction chamber alternately, one at a time, separated by purging or evacuation periods. Thermal ALD and metal organic ALD are also used, but these have their own advantages and disadvantages. Furthermore, plasma-enhanced ALD has come into the spotlight because it has more freedom in processing conditions; it uses highly reactive radicals and ions and for a wider range of material properties than the conventional thermal ALD, which uses $H_2O$ and $O_3$ as an oxygen reactant. However, the throughput is still a challenge for a current time divided ALD system. Therefore, a new concept of ALD, fast ALD or spatial ALD, which separate half-reactions spatially, has been extensively under development. In this paper, we reviewed these various kinds of ALD equipment, possible materials using ALD, and recent ALD research applications mainly focused on materials required in microelectronics.

TFT 소자에 응용하기 위한 ALD에 의해 성장된 ZnO channeal layer의 두께에 대한 영향

  • An, Cheol-Hyeon;U, Chang-Ho;Hwang, Su-Yeon;Lee, Jeong-Yong;Jo, Hyeong-Gyun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.41-41
    • /
    • 2009
  • We utilized atomic layer deposition (ALD) for the growth of the ZnO channel layers in the oxide thin-film-transistors (TFTs) with a bottom-gate structure using a $SiO_2/p-Si$ substrate. For fundamental study, the effect of the channel thickness and thermal treatment on the TFT performance was investigated. The growth modes for the ALD grown ZnO layer changed from island growth to layer-by-layer growth at thicknesses of > 7.5 nm with highly resistive properties. A channel thickness of 17 nm resulted in the good TFT behavior with an onloff current ratio of > $10^6$ and a field effect mobility of 2.9 without the need for thermal annealing. However, further increases in the channel thickness resulted in a deterioration of the TFT performance or no saturation. The ALD grown ZnO layers showed reduced electrical resistivity and carrier density after thermal treatment in oxygen.

  • PDF

Study on the characteristics of ALD, ZrO2 thin film for next-generation high-density MOS devices (차세대 고집적 MOS 소자를 위한 ALD ZrO2 박막의 특성 연구)

  • Ahn, Seong-Joon;Ahn, Seung-Joon
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.9 no.1
    • /
    • pp.47-52
    • /
    • 2008
  • As the packing density of IC devices gets ever higher, the thickness of the gate $SiO_2$ layer of the MOS devices is now required to be reduced down to 1 nm. For such a thin $SiO_2$ layer, the MOS device cannot operate properly because of tunneling current and threshold voltage shift. Hence there has been much effort to develop new dielectric materials which have higher dielectric constants than $SiO_2$ and is free from such undesirable effects. In this work, the physical and electrical characteristics of ALD $ZrO_2$ film have been studied. After deposition of a thin ALD $ZrO_2$ film, it went through thermal treatment in the presence of argon gas at $800^{\circ}C$ for 1 hr. The characteristics of morphology, crystallization kinetics, and interfacial layer of $Pt/ZrO_2/Si$ samples have been investigated by using the analyzing instruments like XRD, TEM and C-V plots. It has been found that the characteristics of the $Pt/ZrO_2/Si$ device was enhanced by the thermal treatment.

Characteristic of Ru Thin Film Deposited by ALD

  • Park, Jingyu;Jeon, Heeyoung;Kim, Hyunjung;Kim, Jinho;Jeon, Hyeongtag
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.78-78
    • /
    • 2013
  • Recently, many platinoid metals like platinum and ruthenium have been used as an electrode of microelectronic devices because of their low resistivity and high work-function. However the material cost of Ru is very expensive and it usually takes long initial nucleation time on SiO2 during chemical deposition. Therefore many researchers have focused on how to enhance the initial growth rate on SiO2 surface. There are two methods to deposit Ru film with atomic layer deposition (ALD); the one is thermal ALD using dilute oxygen gas as a reactant, and the other is plasma enhanced ALD (PEALD) using NH3 plasma as a reactant. Generally, the film roughness of Ru film deposited by PEALD is smoother than that deposited by thermal ALD. However, the plasma is not favorable in the application of high aspect ratio structure. In this study, we used a bis(ethylcyclopentadienyl)ruthenium [Ru(EtCp)2] as a metal organic precursor for both thermal and plasma enhanced ALDs. In order to reduce initial nucleation time, we use several methods such as Ar plasma pre-treatment for PEALD and usage of sacrificial RuO2 under layer for thermal ALD. In case of PEALD, some of surface hydroxyls were removed from SiO2 substrate during the Ar plasma treatment. And relatively high surface nitrogen concentration after first NH3 plasma exposure step in ALD process was observed with in-situ Auger electron spectroscopy (AES). This means that surface amine filled the hydroxyl removed sites by the NH3 plasma. Surface amine played a role as a reduction site but not a nucleation site. Therefore, the precursor reduction was enhanced but the adhesion property was degraded. In case of thermal ALD, a Ru film was deposited from Ru precursors on the surface of RuO2 and the RuO2 film was reduced from RuO2/SiO2 interface to Ru during the deposition. The reduction process was controlled by oxygen partial pressure in ambient. Under high oxygen partial pressure, RuO2 was deposited on RuO2/SiO2, and under medium oxygen partial pressure, RuO2 was partially reduced and oxygen concentration in RuO2 film was decreased. Under low oxygen partial pressure, finally RuO2 was disappeared and about 3% of oxygen was remained. Usually rough surface was observed with longer initial nucleation time. However, the Ru deposited with reduction of RuO2 exhibits smooth surface and was deposited quickly because the sacrificial RuO2 has no initial nucleation time on SiO2 and played a role as a buffer layer between Ru and SiO2.

  • PDF

Study on the Nanoscale Behavior of ALD Pt Nanoparticles at Elevated Temperature (ALD Pt 나노입자의 고온 거동에 대한 연구)

  • An, Jihwan
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.33 no.8
    • /
    • pp.691-695
    • /
    • 2016
  • This paper covers the investigation of the microscale behavior of Pt nanostrucures fabricated by atomic layer deposition (ALD) at elevated temperature. Nanoparticles are fabricated at up to 70 ALD cycles, while congruent porous nanostructures are observed at > 90 ALD cycles. The areal density of the ALD Pt nanostructure on top of the SiO2 substrate was as high as 98% even after annealing at $450^{\circ}C$ for 1hr. The sheet resistance of the ALD Pt nanostructure dramatically increased when the areal density of the nanostructure decreased below 85 - 89% due to coarsening at elevated temperature.

Effect of a seed layer on atomic layer deposition-grown tin oxide

  • Choi, Woon-Seop
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.128-128
    • /
    • 2009
  • The effect of seed layer on the preparation of tin oxide thin film by ALD using tetrakis(ethylmethylamino) tin precursor was examined. The average growth rate of tin oxide film is about 1.4 A/cycle from $50^{\circ}C$ to $150^{\circ}C$. The rate rapidly decreases at the substrate temperature at $200^{\circ}C$. The seed effect was not observed in crystal growth of thin oxide. However, the crystalline growth of seed material in tin oxide was detected by thermal annealing. ALD-grown seeded tin oxide thin film after thermal annealed was characterized by ellipsometry, XRD, AFM and XPS.

  • PDF

Growth of Aluminum Nitride Thin Films by Atomic Layer Deposition and Their Applications: A Review (원자층 증착법을 이용한 AlN 박막의 성장 및 응용 동향)

  • Yun, Hee Ju;Kim, Hogyoung;Choi, Byung Joon
    • Korean Journal of Materials Research
    • /
    • v.29 no.9
    • /
    • pp.567-577
    • /
    • 2019
  • Aluminum nitride (AlN) has versatile and intriguing properties, such as wide direct bandgap, high thermal conductivity, good thermal and chemical stability, and various functionalities. Due to these properties, AlN thin films have been applied in various fields. However, AlN thin films are usually deposited by high temperature processes like chemical vapor deposition. To further enlarge the application of AlN films, atomic layer deposition (ALD) has been studied as a method of AlN thin film deposition at low temperature. In this mini review paper, we summarize the results of recent studies on AlN film grown by thermal and plasma enhanced ALD in terms of processing temperature, precursor type, reactant gas, and plasma source. Thermal ALD can grow AlN thin films at a wafer temperature of $150{\sim}550^{\circ}C$ with alkyl/amine or chloride precursors. Due to the low reactivity with $NH_3$ reactant gas, relatively high growth temperature and narrow window are reported. On the other hand, PEALD has an advantage of low temperature process, while crystallinity and defect level in the film are dependent on the plasma source. Lastly, we also introduce examples of application of ALD-grown AlN films in electronics.

Fabrication of ZnO inorganic thin films by using UV-enhanced Atomic Layer Deposition

  • Song, Jong-Su;Yun, Hong-Ro;Seong, Myeong-Mo
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.312.1-312.1
    • /
    • 2016
  • We have deposited ZnO thin films by ultraviolet (UV) enhanced atomic layer deposition using diethylznic (DEZ) and water (H2O) as precursors with UV light. The atomic layer deposition relies on alternating dose of the precursor on the surface and subsequent chemisorption of the precursors with self-limiting growth mechanism. Though ALD is useful to deposition conformal and precise thin film, the surface reactions of the atomic layer deposition are not completed at low temperature in many cases. In this experiment, we focused on the effects of UV radiation during the ALD process on the properties of the inorganic thin films. The surface reactions were found to be complementary enough to yield uniform inorganic thin films and fully react between DEZ and H2O at the low temperature by using UV irradiation. The UV light was effective to obtain conductive ZnO film. And the stability of TFT with UV-enhanced ZnO was improved than ZnO by thermal ALD method. High conductive UV-enhanced ZnO film have the potential to applicability of the transparent electrode.

  • PDF