• Title/Summary/Keyword: surface etching

Search Result 1,601, Processing Time 0.033 seconds

Double Texturing of Glass Substrate and ZnO : Al Transparent Electrode Surfaces for High Performance Thin Film Solar Cells (고성능 박막태양전지를 위한 유리 기판 및 산화 아연 투명 전극의 2중 구조 표면 조직화 공정 연구)

  • Kang, Dong-Won
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.66 no.8
    • /
    • pp.1230-1235
    • /
    • 2017
  • We studied surface texture-etching of glass substrate by using reactive ion etching process with various working pressure (0.7~9.0 mT). With the increase in the pressure, a haze parameter, which means diffusive transmittance/total transmittance, was increased in overall wavelength regions, as measured by spectrophotometer. Also, atomic force microscopy (AFM) study also showed that the surface topography transformed from V-shaped, keen surface to U-shaped, flattened surface, which is beneficial for nanocrystalline silicon semiconductor growth with suppressing defective crack formation. The texture-etched ZnO:Al combined with textured glass exhibited pronounced haze properties that showed 60~90 % in overall spectral wavelength regions. This promising optical properties of double textured, transparent conducting substrate can be widely applied in silicon thin film photovoltaics and other optoelectronic devices.

Helium/Oxygen Atmospheric Pressure Plasma Treatment on Poly(ethylene terephthalate) and Poly(trimethylene terephthalate) Knitted Fabrics: Comparison of Low-stress Mechanical/Surface Chemical Properties

  • Hwang Yoon Joong;McCord Marian G.;Kang Bok Choon
    • Fibers and Polymers
    • /
    • v.6 no.2
    • /
    • pp.113-120
    • /
    • 2005
  • Helium-oxygen plasma treatments were conducted to modify poly(trimethylene terephthalate) (PIT) and poly(ethylene terephthalate) (PET) warp knitted fabrics under atmospheric pressure. Lubricant and contamination removals by plasma etching effect were examined by weight loss $(\%)$ measurements and scanning electron microscopy (SEM) analysis. Surface oxidation by plasma treatments was revealed by x-ray photoelectron spectroscopy (XPS) analyses, resulting in formation of hydrophilic groups and moisture regain $(\%)$ enhancement. Low-stress mechanical properties (evaluated by Kawabata evaluation system) and bulk properties (air permeability and bust strength) were enhanced by plasma treatment. Increasing interfiber and interyarn frictions might play important roles in enhancing surface property changes by plasma etching effect, and then changing low-stress mechanical properties and bulk properties for both fabrics.

Fabrication of Large Area Si Mirror for Integrated Optical Pickup by using Magnetorheological Finishing (MRF 공정을 이용한 집적형 광 픽업용 대면적 실리콘 미러 제작)

  • Park S.J.;Lee S.J.;Choi S.M.;Min B.K.;Lee S.J.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2005.06a
    • /
    • pp.1522-1526
    • /
    • 2005
  • In this study, the fabrication of large area silicon mirror is accomplished by anisotropic etching using MEMS for implementation of integrated optical pickup and the process condition is also established for improving the mirror surface roughness. Until now, few results have been reported about the production of highly stepped $9.74^{\circ}$ off-axis-cut silicon wafer using wet etching. In addition rough surface of the mirror is achieved in case of long etching time. Hence a novel method called magnetorheolocal finishing is introduced to enhancing the surface quality of the mirror plane. Finally, areal peak to valley surface roughness of mirror plane is reduced about 100nm in large area of $mm^2$ and it is applicable to optical pickup using infrared wavelength.

  • PDF

Effects of Chemical and Abrasive Particles for the Removal Rate and Surface Microroughness in Ruthenium CMP (Ru CMP 공정에서의 화학액과 연마 입자 농도에 따른 연마율과 표면 특성)

  • Lee, Sang-Ho;Kang, Young-Jea;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07b
    • /
    • pp.1296-1299
    • /
    • 2004
  • MIM capacitor has been investigated for the next generation DRAM. Conventional poly-Si bottom electrode cannot satisfy the requirement of electrical properties and comparability to the high k materials. New bottom electrode material such as ruthenium has been suggested in the fabrication of MIM structure capacitor. However, the ruthenium has to be planarized due to the backend scalability. For the planarization CMP has been widely used in the manufacture of integrated circuit. In this research, ruthenium thin film was Polished by CMP with cerium ammonium nitrate (CAN)base slurry. HNO3 was added on the CAN solution as an additive. In the various concentration of chemical and alumina abrasive, ruthenium surface was etched and polished. After static etching and polishing, etching and removal rate was investigated. Also microroughness of surface was observed by AFM. The etching and removal rate depended on the concentration of CAN, and HNO3 accelerated the etching and polishing of ruthenium. The reasonable removal rate and microroughness of surface was achieved in the 1wt% alumina slurry.

  • PDF

Self-assembly of Fine Particles Applied to the Production of Antireflective Surfaces

  • Kobayashi, Hayato;Moronuki, Nobuyuki;Kaneko, Arata
    • International Journal of Precision Engineering and Manufacturing
    • /
    • v.9 no.1
    • /
    • pp.25-29
    • /
    • 2008
  • We introduce a new fabrication process for antireflective structured surfaces. A 4-inch silicon wafer was dipped in a suspension of 300-nm-diameter silica particles dispersed in a toluene solution. When the wafer was drawn out of the suspension, a hexagonally packed monolayer structure of particles self-assembled on almost the complete wafer surface. Due to the simple process, this could be applied to micro- and nano-patterning. The self-assembled silica particles worked as a mask for the subsequent reactive ion etching. An array of nanometer-sized pits could be fabricated since the regions that correspond to the small gaps between particles were selectively etched off. As etching progressed, the pits became deeper and combined with neighboring pits due to side-etching to produce an array of cone-like structures. We investigated the effect of etching conditions on antireflection properties, and the optimum shape was a nano-cone with height and spacing of 500 nm and 300 nm, respectively. This nano-structured surface was prepared on a $30\;{\times}\;10-mm$ area. The reflectivity of the surface was reduced 97% for wavelengths in the range 400-700 nm.

Surface Texturing and Anti-Reflection Coating of Multi-crystalline Silicon Solar Cell (다결정 실리콘 태양전지의 표면 텍스쳐링 및 반사방지막의 영향)

  • Jun, Seong-Uk;Lim, Kyung-Muk;Choi, Sock-Hwan;Hong, Yung-Myung;Cho, Kyung-Mox
    • Journal of the Korean institute of surface engineering
    • /
    • v.40 no.3
    • /
    • pp.138-143
    • /
    • 2007
  • The effects of texturing and anti-reflection coating on the reflection properties of multi-crystalline silicon solar cell have been investigated. The chemical solutions of alkaline and acidic etching solutions were used for texturing at the surface of multi-crystalline Si wafer. Experiments were performed with various temperature and time conditions in order to determine the optimized etching condition. Alkaline etching solution was found inadequate to the texturing of multi-crystalline Si due to its high reflectance of about 25%. The reflectance of Si wafer texturing with acidic etching solution showed a very low reflectance about 10%, which was attributed to the formation of homogeneous. Also, deposition of ITO anti-reflection coating reduced the reflectance of multi-crystalline si etched with acidic solution($HF+HNO_3$) to 2.6%.

Maskless Pattern Fabrication on Si (100) Surface by Using Nano Indenter with KOH Wet Etching (나노인덴터와 KOH 습식 식각 기술을 병용한 Si(100) 표면의 마스크리스 패턴 제작 기술)

  • 윤성원;신용래;강충길
    • Transactions of Materials Processing
    • /
    • v.12 no.7
    • /
    • pp.640-646
    • /
    • 2003
  • The nanoprobe based on lithography, mainly represented by SPM based technologies, has been recognized as potential application to fabricate the surface nanostructures because of its operational versatility and simplicity. The objective of the work is to suggest new mastless pattern fabrication technique using the combination of machining by nanoindenter and KOH wet etching. The scratch option of the nanoindenter is a very promising method for obtaining nanometer scale features on a large size specimen because it has a very wide working area and load range. Sample line patterns were machined on a silicon surface, which has a native oxide on it, by constant load scratch (CLS) of the Nanoindenter with a Berkovich diamond tip, and they were etched in KOH solutions to investigate chemical characteristics of the machined silicon surface. After the etching process, the convex structure was made because of masking effect of the affected layer generated by nano-scratch. On the basis of this fact, some line patterns with convex structures were fabricated. Achieved patterns can be used as a mold that will be used for mass production processes such as nanoimprint or PDMS molding process. All morphological data of scratch traces were scanned using atomic force microscope (AFM).

A study of CuCl$_{x}$ growth mechanism and etching with Cl$_2$ plasma and PEt$_3$(Tri-ethyl phospine) (Cl$_2$ 플라즈마를 인가한 CuCl$_{x}$성장 및 PEt$_3$를 이용한 CuCl$_{x}$의 식각에 대한 연구)

  • 박성언;김기범
    • Journal of the Korean institute of surface engineering
    • /
    • v.30 no.2
    • /
    • pp.111-120
    • /
    • 1997
  • The growth kinetion of $CuCl_x$ layer on Cu was investigated using $Cl_2$ gas with/without plasma. The etching kinetics ofit was also studied, in which PEt3 gas as well as $Cl_2$ gas were used. when plasma and DC bias were applied, not only the growth rate of $CuCl_x$ layer but also the surface concentration of Cl in $CuCl_x$ layer drastically increased. The growth mode is divided into three regimes, where the thinkness $CuCl_x$ layer ise proportional to t, lo9g $T^{1/2}$ , respectively, whether plasma, is applied or not. These three regime. It is also identified that the eath rate of Cu is drastically increased as the $Cl_2$ pressure is increased. However, when plasma and DC bias were applied, the etching rate is decreased, and ClCu-P-U layer is formed. in addition, as the etching time is increased, the surface concentration of Cl is increased and $CuCl_2$ formed partially.

  • PDF

A Study on Thin-Film Silicon Solar Cells with Multi-Architecture Etching Technique to Improve Light Trapping (광 포획 향상을 위한 다중 아키텍처 식각 기술을 적용한 박막 실리콘 태양전지에 관한 연구)

  • Hyeong Gi Park;Junsin Yi
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.37 no.3
    • /
    • pp.337-344
    • /
    • 2024
  • This work focuses on improving the light-harvesting efficiency of thin-film silicon solar cells through innovative multi-architecture surface modifications. To create a regular optical structure, a lithographic process was performed to form it on a glass substrate through various etching processes, from Etch-1 to Etch-3. AZO was deposited on top of the structures and re-etched to create a multi-architectural surface. These surface-modified structures improved the light absorption and overall performance of the solar cell through changes in optical and physical properties, which we will analyze. In addition, we investigated the effect of post-cleaning on the etched glass structures through EDX analysis to understand the mechanism of the etching action. The results of this study are expected to provide important guidelines for the design and fabrication of solar cells and other photovoltaic devices.

Electrochemical Etching of Silicon in Porous Silicon Layer Transfer Process for Thin Film Solar Cell Fabrication (초박형 태양전지의 Porous Si Layer Transfer 기술 적용을 위한 전기화학적 실리콘 에칭)

  • Lee, Ju-Young;Han, Wone-Keun;Lee, Jae-Ho
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.16 no.4
    • /
    • pp.55-60
    • /
    • 2009
  • Porous silicon film is fabricated by electrochemical etching in a chemical mixture of HF and ethanol. Effects of Si type, Si resistivity, ultrasonic frequency, current density and etching time on surface morphology of PS film were studied. Electrochemical etching in ultrasonic bath promotes the uniformity of porous layer of Si. Frequency of ultrasonic was increased from 40 kHz to 130 kHz to obtain uniform pores on the Si surface. When current density was higher, the sizes of pores were larger. The new etching cell using back contact metal and current shield help to overcome nonhomogeneity and current crowding effect, and then leads to fabricate uniform pores on the Si surface. The distribution of pore size shows no notable tendency with etching time.

  • PDF