• Title/Summary/Keyword: sub-mask

Search Result 110, Processing Time 0.023 seconds

A Study on the Composition for the Participating Audience of the Survival Music Entertainment Program: Focusing on Dramatic Device of MBC 'The King of Mask Singer' (서바이벌 음악예능프로그램의 참여 수용자를 위한 구성 연구: MBC'복면가왕'을 중심으로)

  • Hong, Kwan sub
    • Journal of Korea Multimedia Society
    • /
    • v.20 no.4
    • /
    • pp.721-729
    • /
    • 2017
  • This study examined the dramatic devices that the production team made for the studio progress in the survival music entertainment program of open narrative structure in which the audience participated through MBC 'The King of Mask Singer'. The reason for the need for dramatic equipment is that the studio Audience who participated in the process of the program crossed the situations of flow, selection, and enjoyment. As a result of the research, the production team of 'The King of Mask Singer' was found to have a device of time margin, lighting and background image, and role of MC in order to change the progress of the studio and that the participating audience naturally change their roles.

Analysis of Amorphous Carbon Hard Mask and Trench Etching Using Hybrid Coupled Plasma Source

  • Park, Kun-Joo;Lee, Kwang-Min;Kim, Min-Sik;Kim, Kee-Hyun;Lee, Weon-Mook
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.11a
    • /
    • pp.74-74
    • /
    • 2009
  • The ArF PR mask was. developed to overcome the limit. of sub 40nm patterning technology with KrF PR. But ArF PR difficult to meet the required PR selectivity by thin PR thickness. So need to the multi-stack mask such as amorphous carbon layer (ACL). Generally capacitively coupled plasma (CCP) etcher difficult to make the high density plasma and inductively coupled plasma (ICP) type etcher is more suitable for multi stack mask etching. Hybrid Coupled Plasma source (HCPs) etcher using the 13.56MHz RF power for ICP source and 2MHz and 27.12MHz for bias power was adopted to improve the process capability and controllability of ion density and energy independently. In the study, the oxide trench which has the multi stack layer process was investigated with the HCPs etcher (iGeminus-600 model DMS Corporation). The results were analyzed by scanning electron microscope (SEM) and it was found that etching characteristic of oxide trench profile depend on the multi-stack mask.

  • PDF

Continuous Photolithography by Roll-Type Mask and Applications (롤타입 마스크를 이용한 연속 포토리소그래피 기술과 그 응용)

  • Kwak, Moon-Kyu
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.36 no.10
    • /
    • pp.1011-1017
    • /
    • 2012
  • We report the development of an optical micro-nanolithography method by using a roll-type mask. It includes phase-shift lithography and photolithography for realizing various target dimensions. For sub-wavelength resolution, a structure is achieved using the near-field exposure of a photoresist through a cylindrical phase-mask, allowing high-throughput continuous patterning. By using a film-type metal mask, continuous photolithography was achieved, and this method could be used to control the period of resultant patterns in real time by changing the rotating speed of the cylinder mask. As an application, we present the fabrication of a transparent electrode in the form of a metallic mesh by using the developed roll-type photolithography process. As a result, a transparent conductor with good properties was achieved by using a recently built cylindrical phase-shift lithography prototype, which was designed for patterning on 100-mm2 substrates.

On the Computerization of Minimizing the Switching Function by the MASK Method

  • Cho, Dong-Sub;Hwang, Hee-Yeung
    • Proceedings of the KIEE Conference
    • /
    • 1979.08a
    • /
    • pp.69-72
    • /
    • 1979
  • This paper deals with the computer method of finding the minimal sum of products for a switching function by using the MASK method derived from the characteristics of the Boolean algebra. The experiments with the program which is dissimilar to the previous computer programs show that the algorithm presented will be more efficient.

  • PDF

Evaluation of Imaging Performance of Phase Shift Mask Depending on Reflectivity with Sub-resolution Assist Feature in EUV Lithography (SRAF를 적용한 극자외선 노광기술용 위상 변위 마스크의 반사도에 따른 이미징 특성 연구)

  • Jang, Yong Ju;Kim, Jung Sik;Hong, Seongchul;Cho, HanKu;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.14 no.3
    • /
    • pp.1-5
    • /
    • 2015
  • In photolithography process, resolution enhancement techniques such as optical proximity correction (OPC) and phase shift mask (PSM) have been applied to improve resolution. Especially, sub-resolution assist feature (SRAF) is one of the most important OPC to enhance image quality including depth of focus (DOF). However, imaging performance of the mask could be varied with the diffraction order amplitude changed by inserting SRAF. Therefore, in this study, we investigated the imaging properties and process margin of attenuated PSM with SRAF. Reflectivities of attenuated PSMs at 13.5 nm were 3, 6, 9% and simulation was performed by $PROLITH^{TM}$. As a result, aerial image properties and DOF as well as diffraction efficiency were improved by increasing the reflectivity of attenuated PSM. Additionally, printed critical dimension variations depending on SRAF width and space error were also reduced for attenuated PSM with high reflectivity. However, SRAF could be printed when reflectivity of attenuated PSM is high enough. In conclusion, optimization of reflectivity of attenuated PSM and SRAF to prevent side-lobe from being printed is needed to be considered.

Low Temperature Catalytic Activity of Cobalt Oxide for the Emergency Escape Mask Cartridge

  • Park, Jae-Man;Kim, Deog-Ki;Shin, Chang-Sub
    • International Journal of Safety
    • /
    • v.1 no.1
    • /
    • pp.58-61
    • /
    • 2002
  • A preparation method of cobalt supported alumina catalyst for a emergency escape mask cartridge has been studied. Catalysts were prepared by incipient wetness impregnation method using pre-shaped $\gamma$=alumina powders of 70-100 mesh. The catalyst was tested in a continuous-flow reactor system and characterized by elemental analysis, BET and TGA-DTA techniques. Cobalt shows higher activity than platinum or nickel for carbon monoxide oxidation at room temperature. Optimum loading amount of cobalt was 10 wt.% for CO oxidation and the reaction activity increases gradually with the increase of calcination temperature up to $450^{\circ}C.

Infinitely high selectivity etching of SnO2 binary mask in the new absorber material for EUVL using inductively coupled plasma

  • Lee, S.J.;Jung, C.Y.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.285-285
    • /
    • 2011
  • EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Comparison of Recognition and Fit Factors according to Education Actual Condition and Employment Type of Small and Medium Enterprises (중소규모 사업장의 교육 환경과 고용형태에 따른 호흡보호구 인식도 및 밀착계수 비교)

  • Eoh, Won Souk;Choi, Youngbo;Shin, Chang Sub
    • Journal of the Korean Society of Safety
    • /
    • v.33 no.6
    • /
    • pp.28-36
    • /
    • 2018
  • There was a difference in recognition of respirators according to the educational performance environment. they were showed higher recognition of respirators of group by internal and external mix trainer, less than 6 months, over 1hour, more than 5 times, variety of education. To identify the relationship between types of job classification(typical and atypical)and the levels of recognition of respirators, a total of 153 workers in a business workplace. mainly, typical workers showed higher recognition of respirators than atypical workers. Training of correct wearing showed high demands both typical and atypical workers. Descriptive statistics(SAS ver 9.2)was performed. the results of recognition of respirators were analyzed the mean and standard deviation by t-test, and anova, fit factor is used geometric means(geometric standard deviation), paired t-test, Wilcoxon analysis(P=0.05). Particulate filtering facepiece respirators (PFFR) is one of the most widely used items of personal protective equipments, and a tight fit of the respirators on the wearers is critical for the protection effectiveness. In order to effectively protect the workers through the respirators, it is important to find and evaluate the ways that can be readily applicable at the workplace to improve the fit of the respirators. This study was designed to evaluate effects of mask style (cup or foldable type) and donning training on fit factors (FF) of the respirators, since these are available at various workplace, especially at small business workplace. A total of 40 study subjects, comprised of employment type workers in metalworking industries, were enrolled in this study. The FF were quantitatively measured before and after training related to the proper donning and use of cup or foldable-type respirators. The pass/fail criterion of FF was set at 100. After the donning training for the cup-type mask, fit test were increased by 769%. but foldable-type mask was also increased after the donning training, the GM of FF for the foldable-type mask and it's increase rate were smaller as compared to the cup-type mask. Furthermore, the differences of the increase rates of the GM of FF in employment type of the subjects were not significantly for the foldable-type mask. These results imply that the raining on the donning and use of PFFR can enhance the protection effectiveness of cup or foldable-type mask, and that the training effects for the foldable-type mask is less significant than that for the cup-type mask. Therefore, it is recommended that the donning training and fit tests should be conducted before the use of the PFFR, and listening to workers opinion regularly.

Generation of Lens surface by moving mask lithography (가변 속도 이동식 마스크를 이용한 렌즈 곡면 형성)

  • Lee Joon-Sub;Park Woo-Jae;Song Seok-Ho;Oh Cha-Hwan;Kim Pill-Soo
    • Korean Journal of Optics and Photonics
    • /
    • v.16 no.6
    • /
    • pp.508-515
    • /
    • 2005
  • We propose a fabrication method for refractive lens by variable velocity moving mask lithography and slit pattern. Distribution of exposure dose should be controlled for the curved photoresist surface that works as a refractive surface. We analyze theoretically the distribution of exposure dose by change of moving velocity, moving direction of mask and the shape of mask pattern, and confirm for the curved surface experimentally. The lens could have sag height of a few of hundreds ${\mu}m$, by using thick photoresist or Deep RIE process.

Dry Etching of Al2O3 Thin Film by Cl2/Ar Plasma (Cl2/Ar 플라즈마를 이용한 Al2O3 박막의 식각)

  • Yang, Xue;Um, Doo-Seung;Kim, Gwan-Ha;Song, Sang-Hun;Kim, Chang-Il
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.22 no.12
    • /
    • pp.1005-1008
    • /
    • 2009
  • In this study, adaptively coupled plasma (ACP) source was used for dry etching of $Al_2O_3$ thin film. During the etching process, the wafer surface temperature is an important parameter to influent the etching characteristics. Therefore, the experiments were carried out in ACP to measuring the etch rate, the selectivities of $Al_2O_3$ thin film to mask materials and the etch profile as functions of $Cl_2$/Ar gas ratio and substrate temperature. The highest etch rate of $Al_2O_3$ was 65.4 nm/min at 75% of $Cl_2/(Cl_2+Ar)$ gas mixing ratio. The etched profile was characterized using field effect scanning electron microscopy (FE-SEM). The chemical states of $Al_2O_3$ thin film surfaces were investigated with x-ray photoelectron spectroscopy (XPS).