• Title/Summary/Keyword: polycrystalline 3C-SiC

Search Result 159, Processing Time 0.027 seconds

Microstructure Characterization on Nano-thick Nickel Cobalt Composite Silicide on Polycrystalline Substrates (다결정 실리콘 기판 위에 형성된 나노급 니켈 코발트 복합실리사이드의 미세구조 분석)

  • Song, Oh-Sung
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.8 no.2
    • /
    • pp.195-200
    • /
    • 2007
  • We fabricated thermally-evaporated 10 nm-Ni/70 w-Poly-Si/200 $nm-SiO_2/Si$ and $10nm-Ni_{0.5}Co_{0.5}/70$ nm-Poly-Si/200 $nm-SiO_2/Si$ structures to investigate the microstructure of nickel monosilicide at the elevated temperatures required fur annealing. Silicides underwent rapid anneal at the temperatures of $600{\sim}1100^{\circ}C$ for 40 seconds. Silicides suitable for the salicide process formed on top of the polycrystalline silicon substrate mimicking the gates. A four-point tester was used to investigate the sheet resistances. A transmission electron microscope and an Auger depth profile scope were employed for the determination of cross sectional microstructure and thickness. 20nm thick nickel cobalt composite silicides on polycrystalline silicon showed low resistance up to $900^{\circ}C$, while the conventional nickle silicide showed low resistance below $900^{\circ}C$. Through TEM analysis, we confirmed that the 70nm-thick nickel cobalt composite silicide showed a unique silicon-silicide mixing at the high silicidation temperature of $1000^{\circ}C$. We identified $Ni_3Si_2,\;CoSi_2$ phase at $700^{\circ}C$ using an X-ray diffractometer. Auger depth profile analysis also supports the presence of this mixed microstructure. Our result implies that our newly proposed NiCo composite silicide from NiCo alloy films process may widen the thermal process window for the salicide process and be suitable for nano-thick silicides.

  • PDF

Charaterization of (Bi,La)Ti3O12 Ferroelectric Thin Films on Pt/Ti/SiO2/Si Substrates by sol-gel Method (졸-겔법으로 Pt/Ti/SiO2/Si 기판위에 제작된 (Bi,La)Ti3O12 강유전체 박막의 특성 연구)

  • Hwang, Sun-Hwan;Chang, Ho-Jung
    • Korean Journal of Materials Research
    • /
    • v.12 no.11
    • /
    • pp.835-839
    • /
    • 2002
  • Metal-Ferroelectric-Metal(MFM) capacitors were prepared using $Bi_{3.3}$ $La_{0.7}$ $Ti_3$$O_{12}$ (BLT) ferroelectric thin films which were spin coated on $Pt/Ti/SiO_2$/Si substrates by the Sol-Gel method. BLT thin films annealed at above $650^{\circ}C$ showed polycrystalline structures with typical c-axis preferred orientation. The grain size and surface roughness were increased as the annealing temperature increased from $650^{\circ}C$ to $700^{\circ}C$. In addition, the full width at half maximum (FWHM) values were decreased with increasing annealing temperatures, indicating the improvement of crystallinity. The remanent polarization (2Pr= $Pr^{+}$ $+Pr^{-) }$ and leakage current of the BLT film annealed at $650^{\circ}C$ were about 29.3 $\mu$C/cm$^2$ and $2.3$\times$10^{-8}$$ A/cm^2$ at 3V. There were no distinct changes in the retention charges after $10^{10}$ polarization switching cycles, showing good fatigue property of the annealed BLT films.

Growth of SiC Nanorod Using Tetramethylsilane (테트라메틸사일렌을 이용한 탄화규소 나노로드의 성장)

  • Rho, Dae-Ho;Kim, Jae-Soo;Byun, Dong-Jin;Yang, Jae-Woong;Kim, Na-Ri
    • Korean Journal of Materials Research
    • /
    • v.13 no.6
    • /
    • pp.404-408
    • /
    • 2003
  • SiC nanorods have been grown on Si (100) substrate directly. Tetramethylsilane and Ni were used for SiC nanorod growth. After 3minute, SiC nanorod had grown by CVD. Growth regions ware divided by two regions with diameter. The First region consisted of thin SiC nanorods having below 10 nm diameter, but second region's diameter was 10∼50 nm. This appearance shows by reduction of growth rate. The effect of temperature and growth time was investigated by scanning electron microscopy. Growth temperature and time affected nanorod's diameter and morphology. With increasing growth time, nanorod's diameter increased because of the deactivation effect. But growth temperatures affected little. By TEM characterization, grown SiC nanorods consisted of the polycrystalline grain.

Effects of Pressurereduction Rate in a Sublimation Crystal Growth Furnace on the Growth of SiC Single Crystals (승화결정성장로의 감압속도가 탄화규소 단결정 성장에 미치는 영향)

  • Kim, Jong-Pyo;Kim, Yeong-Jin;Kim, Hyeong-Jun
    • Korean Journal of Crystallography
    • /
    • v.3 no.1
    • /
    • pp.23-30
    • /
    • 1992
  • a-SiC crystals were grown on the (001) plane of a-SiC seed crystals by sublimation method to find effects of pressure-reduction rate of the crystal growth furnace own the growth rate and orientstion of grown SiC crystals. Pressure-reduction rate at the initial growth stage affected the crystallinity of grown SiC crystals. In case of high pressure-reduction rate, growth rate was high and 3csic polycrystalline was grown on the seed. On the other hand, low pressure-reduction rate caused the growth rate to be slow and 6H-SiC single crystal was grown on the seed. However, even after growing SiC for 2 hours under the condition in which.

  • PDF

Suppression of Boron Penetration into Gate Oxide using Amorphous Si on $p^+$ Si Gated Structure (비정질 실리론 게이트 구조를 이용한 게이트 산화막내의 붕소이온 침투 억제에 관한 연구)

  • Lee, U-Jin;Kim, Jeong-Tae;Go, Cheol-Gi;Cheon, Hui-Gon;O, Gye-Hwan
    • Korean Journal of Materials Research
    • /
    • v.1 no.3
    • /
    • pp.125-131
    • /
    • 1991
  • Boron penetration phenomenon of $p^{+}$ silicon gate with as-deposited amorphous or polycrystalline Si upon high temperature annealing was investigated using high frequency C-V (Capacitance-Volt-age) analysis, CCST(Constant Current Stress Test), TEM(Transmission Electron Microscopy) and SIMS(Secondary Ion Mass Spectroscopy), C-V analysis showed that an as-deposited amorphous Si gate resulted in smaller positive shifts in flatband voltage compared wish a polycrystalline Si gate, thus giving 60-80 percent higher charge-to-breakdown of gate oxides. The reduced boron penetration of amorphous Si gate may be attributed to the fewer grain boundaries available for boron diffusion into the gate oxide and the shallower projected range of $BF_2$ implantation. The relation between electron trapping rate and flatband voltage shift was also discussed.

  • PDF

Application of rapid thermal annealing process to the aluminum induced crystallization of amorphous silicon thin film (비정질 실리콘의 부분적 알루미늄 유도 결정화 공정에서의 급속 열처리 적용 가능성)

  • Hwang, Ji-Hyun;Yang, Su-Won;Kim, Young-Kwan
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.29 no.2
    • /
    • pp.50-53
    • /
    • 2019
  • In this study, polycrystalline silicon thin film useful for the solar cells was fabricated by AIC(Aluminum Induced Crystallization) process. A diffusing barrier for this process is prepared with $Al_2O_3$. For the maximization of the grain size of the polycrystalline silicon, a selective blasting of the $Al_2O_3$ diffusing barrier was conducted before annealing treatment. The heat treatment for the activation of the amorphous-Si (a-Si) layer was carried out with Rapid Thermal Annealing (RTA) process. Crystallization of the a-Si layer was analyzed with XRD. It was confirmed that a-Si was crystallized at $500^{\circ}C$ and the silicon crystal is observed to be formed and the grain size of the polycrystalline silicon was observed to be $15.9{\mu}m$.

Microstructural improvement in polycrystalline Si films by crystallizing with vapor transport of Al/Ni chlorides

  • Eom, Ji-Hye;Lee, Kye-Ung;Jun, Young-Kwon;Ahn, Byung-Tae
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2004.08a
    • /
    • pp.315-318
    • /
    • 2004
  • We developed a vapor induced crystallization (VIC) process for the first time to obtain high quality polycrystalline Si films by sublimating the mixture of $AlCl_3$ and $NiCl_2$. The VIC process enhanced the crystallization of amorphous silicon thin films. The LPCVD amorphous silicon thin films were completely crystallized after 5 hours at 480 $^{\circ}C$. It is known that needle-like grains with very small width grow in the Ni-metal induced lateral crystallization. In our new method, the width of grains is larger because the grain can also grow perpendicular to the needle growth direction. Also the interface between the merging grain boundaries was coherent. As the results, a polycrystalline film with superior microstructure has been obtained.

  • PDF

The Study of poly-Si Eilm Crystallized on a Mo substrate for a thin film device Application (박막소자응용을 위한 Mo 기판 위에 고온결정화된 poly-Si 박막연구)

  • 김도영;서창기;심명석;김치형;이준신
    • Journal of the Korean Vacuum Society
    • /
    • v.12 no.2
    • /
    • pp.130-135
    • /
    • 2003
  • Polycrystalline silicon thin films have been used for low cost thin film device application. However, it was very difficult to fabricate high performance poly-Si at a temperature lower than $600^{\circ}C$ for glass substrate because the crystallization process technologies like conventional solid phase crystallization (SPC) require the number of high temperature (600-$1000^{\circ}C$) process. The objective of this paper is to grow poly-Si on flexible substrate using a rapid thermal crystallization (RTC) of amorphous silicon (a-Si) layer and make the high temperature process possible on molybdenum substrate. For the high temperature poly-Si growth, we deposited the a-Si film on the molybdenum sheet having a thickness of 150 $\mu\textrm{m}$ as flexible and low cost substrate. For crystallization, the heat treatment was performed in a RTA system. The experimental results show the grain size larger than 0.5 $\mu\textrm{m}$ and conductivity of $10^{-5}$ S/cm. The a-Si was crystallized at $1050^{\circ}C$ within 3min and improved crystal volume fraction of 92 % by RTA. We have successfully achieved a field effect mobility over 67 $\textrm{cm}^2$/Vs.

Growth of SiC Oxidation Protective Coating Layers on graphite substrates Using Single Source Precursors

  • Kim, Myung-Chan;Heo, Cheol-Ho;Park, Jin-Hyo;Park, Seung-Jun;Han, Jeon-Geon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.122-122
    • /
    • 1999
  • Graphite with its advantages of high thermal conductivity, low thermal expansion coefficient, and low elasticity, has been widely used as a structural material for high temperature. However, graphite can easily react with oxygen at even low temperature as 40$0^{\circ}C$, resulting in CO2 formation. In order to apply the graphite to high temperature structural material, therefore, it is necessary to improve its oxidation resistive property. Silicon Carbide (SiC) is a semiconductor material for high-temperature, radiation-resistant, and high power/high frequency electronic devices due to its excellent properties. Conventional chemical vapor deposited SiC films has also been widely used as a coating materials for structural applications because of its outstanding properties such as high thermal conductivity, high microhardness, good chemical resistant for oxidation. Therefore, SiC with similar thermal expansion coefficient as graphite is recently considered to be a g행 candidate material for protective coating operating at high temperature, corrosive, and high-wear environments. Due to large lattice mismatch (~50%), however, it was very difficult to grow thick SiC layer on graphite surface. In theis study, we have deposited thick SiC thin films on graphite substrates at temperature range of 700-85$0^{\circ}C$ using single molecular precursors by both thermal MOCVD and PEMOCVD methods for oxidation protection wear and tribological coating . Two organosilicon compounds such as diethylmethylsilane (EDMS), (Et)2SiH(CH3), and hexamethyldisilane (HMDS),(CH3)Si-Si(CH3)3, were utilized as single source precursors, and hydrogen and Ar were used as a bubbler and carrier gas. Polycrystalline cubic SiC protective layers in [110] direction were successfully grown on graphite substrates at temperature as low as 80$0^{\circ}C$ from HMDS by PEMOCVD. In the case of thermal MOCVD, on the other hand, only amorphous SiC layers were obtained with either HMDS or DMS at 85$0^{\circ}C$. We compared the difference of crystal quality and physical properties of the PEMOCVD was highly effective process in improving the characteristics of the a SiC protective layers grown by thermal MOCVD and PEMOCVD method and confirmed that PEMOCVD was highly effective process in improving the characteristics of the SiC layer properties compared to those grown by thermal MOCVD. The as-grown samples were characterized in situ with OES and RGA and ex situ with XRD, XPS, and SEM. The mechanical and oxidation-resistant properties have been checked. The optimum SiC film was obtained at 85$0^{\circ}C$ and RF power of 200W. The maximum deposition rate and microhardness are 2$mu extrm{m}$/h and 4,336kg/mm2 Hv, respectively. The hardness was strongly influenced with the stoichiometry of SiC protective layers.

  • PDF

The Enhancement of Thermal Stability of Nickel Monosilicide by Ir and Co Insertion (Ir과 Co를 첨가한 니켈모노실리사이드의 고온 안정화 연구)

  • Yoon, Ki-Jeong;Song, Oh-Sung
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.7 no.6
    • /
    • pp.1056-1063
    • /
    • 2006
  • Thermal evaporated 10 nm-Ni/l nm-Ir/(or polycrystalline)p-Si(100) and 10 nm-$Ni_{50}Co_{50}$/(or polycrystalline)p-Si(100) films were thermally annealed using rapid thermal annealing fur 40 sec at $300{\sim}1200^{\circ}C$. The annealed bilayer structure developed into Ni(Ir or Co)Si and resulting changes in sheet resistance, microstructure, phase and composition were investigated using a four-point probe, a scanning electron microscopy, a field ion beam, an X-ray diffractometer and an Auger electron spectroscope. The final thickness of Ir- and Co-inserted nickel silicides on single crystal silicon was approximately 20$\sim$40 nm and maintained its sheet resistance below 20 $\Omega$/sq. after the silicidation annealing at $1000^{\circ}C$. The ones on polysilicon had thickness of 20$\sim$55 nm and remained low resistance up to $850^{\circ}C$. A possible reason fur the improved thermal stability of the silicides formed on single crystal silicon substrate is the role of Ir and Co in preventing $NiSi_2$ transformation. Ir and Co also improved thermal stability of silicides formed on polysilicon substrate, but this enhancement was lessened due to the formation of high resistant phases and also a result of silicon mixing during high temperature diffusion. Ir-inserted nickel silicides showed surface roughness below 3 nm, which is appropriate for nano process. In conclusion, the proposed Ir- and Co- inserted nickel silicides may be superior over the conventional nickel monosilicides due to improved thermal stability.

  • PDF