• Title/Summary/Keyword: pipelined

Search Result 377, Processing Time 0.03 seconds

Pipelined Adaptive Adaptive filters Based on Affine Projection Algorithms with Order 2

  • Muneyasu, Mitsuji;Harada, Takeshi;Hinamoto, Takao
    • Proceedings of the IEEK Conference
    • /
    • 2000.07a
    • /
    • pp.171-174
    • /
    • 2000
  • This paper proposes a pipelined adaptive filter based on affine projection algorithm with order 2. This filter gives a better convergence performance than that of LMS or NLMS pipeline algorithm and has same latency with the pipeline algorithm based on equivalent transformation. Compared to the critical path of the pipeline NLMS implementation, only 2 additions are increased in that of the proposed implementation.

  • PDF

Adaptive Frequency Scaling for Efficient Power Management in Pipelined Deep Packet Inspection Systems (파이프라인형 DPI 시스템에서 효율적인 소비전력 감소를 위한 동작주파수 설계방법)

  • Kim, Han-Soo
    • Journal of the Korea Society of Computer and Information
    • /
    • v.19 no.12
    • /
    • pp.133-141
    • /
    • 2014
  • An efficient method for reducing power consumption in pipelined deep packet inspection systems is proposed. It is based on the observation that the number of memory accesses is dominant for the power consumption and the number of accesses drops drastically as the input goes through stages of the pipelined AC-DFA. A DPI system is implemented where the operating frequency of the stages that are not frequently used in the pipeline is reduced to eliminate the waste of power consumption. The power consumption of the proposed DPI system is measured upon various input character set and up to 25% of reduction of total power consumption is obtained, compared to those of the recent DPI systems. The method can be easily applied to other pipelined architecture and string searching applications.

Design and Simulation for Out-of-Order Execution Processor of a Fully Pipelined Scheme (완전한 파이프라인 방식의 비순차실행 프로세서의 설계 및 모의실행)

  • Lee, Jongbok
    • The Journal of the Institute of Internet, Broadcasting and Communication
    • /
    • v.20 no.5
    • /
    • pp.143-149
    • /
    • 2020
  • Currently, a multi-core processor is mainly used as a central processing unit of a computer system, and a high-performance out-of-order processor is adopted as each core to maximize system performance. The early out-of-order execution processor with Tomasulo algorithm aimed at floating-point instructions, and it took several cycles to execute by the use of complex structures such as reorder buffer and reservation station. However, in order for the processor to properly utilize out-of-order execution and increase the throughput of instructions, it must operate in a fully pipelined manner. In this paper, a fully pipelined out-of-order processor with speculative execution is designed with VHDL and verified with GHDL. As a result of the simulation, a program composed of ARM instructions is successfully performed.

A Design of Pipelined Analog-to-Digital Converter with Multi SHA Structure (Multi SHA 구조의 파이프라인 아날로그-디지털 변환기 설계)

  • Lee, Seung-Woo;Ra, Yoo-Chan;Shin, Hong-Kyu
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.30 no.2A
    • /
    • pp.114-121
    • /
    • 2005
  • In this paper, Pipelined A/D converter with multi SHA structure is proposed for high speed operation. The proposed structure incorporates a multi SHA block that consists of multiple SHAs of identical characteristics in parallel to improve the conversion speed. The designed multi SHA is operated by non-overlapping clocks and the sampling speed can be improved by increasing the number of multiplexed SHAs. Pipelined A/D converter, applying the proposed structure, is designed to satisfy requirement of analog front-end of VDSL modem. The measured INL and DNL of designed A/D converter are $0.52LSB{\sim}-0.50LSB\;and\;0.80LSB{\sim}-0.76LSB$, respectively. It satisfies the design specifications for VDSL modems. The simulated SNR is about 66dB which corresponds to a 10.7 bit resolution. The power consumption is 24.32mW.

An Effective Parallel and Pipelined Algorithm with Minimum Delayed Time in VLIW System (VLIW 시스템에서의 최소 시간 지연을 갖는 효율적인 병렬 파이프라인 알고리즘)

  • Seo, Jang-Won;Song, Jin-Hui;Ryu, Cheon-Yeol;Jeon, Mun-Seok
    • The Transactions of the Korea Information Processing Society
    • /
    • v.2 no.4
    • /
    • pp.466-476
    • /
    • 1995
  • This pater describes pipelining algorithm issues for a VLIW(Very Long Instruction Word) System and the effective pipelined processing method by occurrence in pipelined management of processor minimized to timing delay. The proposed algorithm is executed in pipeline and parallel processings, and by combining basic operations variable instruction set can be desinged for various applications. In this paper, we prove and analyze the efficiency of the proposed pipeline algorithm and compare with other processor pipeline algorithm in terms of time minimizing.

  • PDF

A Scheduling Algorithm for the Synthesis of a Pipelined Datapath using Collision Count (충돌수를 이용한 파이프라인 데이타패스 합성 스케쥴링 알고리즘)

  • Yu, Dong-Jin;Yoo, Hee-Jin;Park, Do-Soon
    • The Transactions of the Korea Information Processing Society
    • /
    • v.5 no.11
    • /
    • pp.2973-2979
    • /
    • 1998
  • As this paper is a scheduling algorithm for the synthesis of a pipelined datapath under resource constraints in high level synthesis, the proposed heuristic algorithm uses a priority function based on the collision count of resourecs. In order to schedule the pipelined datapath under resource constraints, we define the collision count and the priority function based on the collision count, a number of resource, and the mobility of operations to resolve a resource collision. The proposed algorithm supports chaining, multicycling, and structural pipelining to design the realistic hardware. The evaluation of the Performance is compared with other systems using the results of the synthesis for a 16point FIR filter and a 5th order elliptic wave filter, where in most cases, the optimal solution is obtained.

  • PDF

High-Speed Low-Complexity Two-Bit Level Pipelined Viterbi Decoder for UWB Systems (UWB시스템을 위한 고속 저복잡도 2-비트 레벨 파이프라인 비터비 복호기 설계)

  • Goo, Yong-Je;Lee, Han-Ho
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.46 no.8
    • /
    • pp.125-136
    • /
    • 2009
  • This paper presents a high-speed low-complexity two-bit level pipelined Viterbi decoder architecture for MB-OFDM UWB systems. As the add-compare-select unit (ACSU) is the main bottleneck of the Viterbi decoder, this paper proposes a novel two-bit level pipelined MSB-first ACSU, which is based on 2-step look-ahead techniques to reduce the critical path. The proposed ACSU architecture requires approximately 12% fewer gate counts and 9% faster speed than the conventional MSB-first ACSU. The proposed Viterbi decoder was implemented with $0.18-{\mu}m$ CMOS standard cell technology and a supply voltage of 1.8V. It operates at a clock frequency of 870 MHZ and has a throughput of 1.74 Gb/s.

Pipelined Broadcast with Enhanced Wormhole Routers (개선된 윔홀 라우터를 이용한 파이프라인 브로드캐스트)

  • Jeon, Min-Soo;Kim, Dong-Seung
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.29 no.1
    • /
    • pp.10-15
    • /
    • 2002
  • This paper proposes the Pipelined Broadcast that broadcasts a message of size m in O(m+n-1) time in an n-dimensional hypercube. It is based on the replication tree, which is derived from the reachable sets. It greatly improves the performance compared to Ho-Kao s algorithm with the time of O(m[n/log(n+1)]). The communication in the broadcast uses all-port wormhole router with message replication capability. This paper includes the algorithm together with performance comparisons to previous schemes in practical implementation.

A 4-way Pipelined Processing Architecture for Three-Step Search Block Matching Algorithm (3 단계 블록 매칭 알고리즘을 위한 4-경로 파이프라인 처리)

  • Jung, Sung-Tae;Lee, Sang-Seol;Nam, Kung-Moon
    • Journal of Korea Multimedia Society
    • /
    • v.7 no.8
    • /
    • pp.1170-1182
    • /
    • 2004
  • A novel 4-way pipelined processing architecture is presented for three-step search block-matching motion estimation. For the 4-way pipelined processing, we have developed a method which divides the current block and search area into 4 subregions respectively and processes them concurrently. Also, we have developed memory partitioning method to access pixel data from 4 subregions concurrently without memory conflict. The architecture has been designed and simulated with C language and VHDL. Experimental results show that the proposed architecture achieves a high performance for real time motion estimation.

  • PDF

Automated Synthesis of Moore and Mealy-model Time-stationary Controllers for Pipelined Data Path of Application Specific Integrated Circuits (파이프라인 방식의 ASIC 데이타 경로를 위한 무어 및 밀리식 시간 정지형 콘트롤 러의 자동 합성)

  • Kim, Jong-Tae
    • The Transactions of the Korea Information Processing Society
    • /
    • v.2 no.2
    • /
    • pp.254-263
    • /
    • 1995
  • In this paper we discuss Moore and Mealy-model Time-stationary control schemes of pipelined data paths of Application Specific, Integrated Circuits (ASICs). We developed a method to synthesize both a Moore and a Mealy-style Finite State Machine(FSM) controller specifications given a pipelined data path with conditional branches. The control synthesis task consists of the generation of control specification and the FSM synthesis. The control specification procedure generates a FSM specification in the form of a state table. The different partitioning schemes are applied to each FSM controller so as to minimize the total area. Experimental results show the characteristics of the two different control styles and the effects of these two models on cost and performance.

  • PDF