• Title/Summary/Keyword: out-of-order

Search Result 21,672, Processing Time 0.054 seconds

Proposition and Evaluation of Parallelism-Independent Scheduling Algorithms for DAGs of Tasks with Non-Uniform Execution Time

  • Kirilka Nikolova;Atusi Maeda;Sowa, Masa-Hiro
    • Proceedings of the IEEK Conference
    • /
    • 2000.07a
    • /
    • pp.289-293
    • /
    • 2000
  • We propose two new algorithms for parallelism-independent scheduling. The machine code generated from the compiler using these algorithms in its scheduling phase is parallelism-independent code, executable in minimum time regardless of the number of the processors in the parallel computer. Our new algorithms have the following phases: finding the minimum number of processors on which the program can be executed in minimal time, scheduling by an heuristic algorithm for this predefined number of processors, and serialization of the parallel schedule according to the earliest start time of the tasks. At run time tasks are taken from the serialized schedule and assigned to the processor which allows the earliest start time of the task. The order of the tasks decided at compile time is not changed at run time regardless of the number of the available processors which means there is no out-of-order issue and execution. The scheduling is done predominantly at compile time and dynamic scheduling is minimized and diminished to allocation of the tasks to the processors. We evaluate the proposed algorithms by comparing them in terms of schedule length to the CP/MISF algorithm. For performance evaluation we use both randomly generated DAGs (directed acyclic graphs) and DACs representing real applications. From practical point of view, the algorithms we propose can be successfully used for scheduling programs for in-order superscalar processors and shared memory multiprocessor systems. Superscalar processors with any number of functional units can execute the parallelism-independent code in minimum time without necessity for dynamic scheduling and out-of-order issue hardware. This means that the use of our algorithms will lead to reducing the complexity of the hardware of the processors and the run-time overhead related to the dynamic scheduling.

  • PDF

A Status of Student Sickness and Medical Care in University Health Service, Ewha Womans University (이화여자대학교 학생들의 의료실태에 관한 조사 연구)

  • Lee, Jong-Sook
    • Journal of Preventive Medicine and Public Health
    • /
    • v.15 no.1
    • /
    • pp.197-203
    • /
    • 1982
  • A study was carried out in order to obtain the status of student sickness and medical care in University Health Service, Ewha Womans University. This study was based on the clinical records of University Health Service and hospitals 'for student insurance pay claims during the year of 1981. And the findings from the study were as follows; 1. A total number of student patients cared at University Health Service in 1981 was 9,822 and the incidence rate of primary cared was 773 per 1,000 students. 2. A total number of student patients cared at hospitals was 393 and the incidence rate of secondary cared was 31 per 1,000 students and 5 student out of 31 per 1000 was cared under the haspitalization. 3. The evacuation rate of student patients from University Health Service to hospital was 393 out of 9,822 student primary cared or 4.0 percent. 4. The order of 5 major diseases of primary cared in University Health Service was respiratory system diseases (36.6%), Digestive system diseases (17.4%), Skin and subcutaneous tissue diseases (16.0%), Symptoms and undetermined diagnosis (13.7%) and Nerve and sensory organ diseases (12.0%) respectively. 5. The disease order of student patients(333) cared in hospitals as out-patients was Skin and subcutaneous tissue diseases (40.3%), Nervous and Sensory organ disease (19.2%), Digestive system diseases (10.8%) respectively. 6. The disease order of student patients (60) cared in hospitals as in-patients was Digestive system diseases (35.0%), Respiratory system diseases (13.3%), Nerve and sensory organ diseases (10.0%), Infectious and parasitic diseases (10.0%), and Symptom and Undetermined diagonsis (10.0%) respectively. 7. The evacuation rate of student patients in University Health Service to hospital was varied according to disease groups; the lowest rate was the diseases evacuated to Internal Medicine Department 1.5% or 75 out of 5,072 patient primary cared and the highest rate was Neuropsychiatry department 63.7% or 7 out of 11 patients. 8. The monthly distribution of student patients in University Health Service was the highest in September (17.9%) and April (15.5%) each semester. 9. The monthly number of student patients treated in hospitals was the range 20 to 40 in out patients and 2 to 9 in in-patients. 10. The hospital ill days per case were $4.3{\pm}5.0$ days in out-patients and $9.7{\pm}9.5$ days in in-patients.

  • PDF

Comparison of Accuracy in Cardiopulmonary Resuscitation(CPR) between Group with Verbal Order and Group with Non-Verbal Order in Operation of CPR (심폐소생술 시행 시 구령집단과 비구령집단 간의 심폐소생술 정확도 비교)

  • Park, Sang-Sub;An, Ju-Yeong
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.12 no.6
    • /
    • pp.2607-2615
    • /
    • 2011
  • The purpose of this study is to increase efficiency and accuracy in operation of CPR(Cardiopulmonary Resuscitation) through comparing accuracy in CPR between group with verbal order and group with non-verbal order given carrying out CPR. This study performed convenience sampling targeting 62 students(31 people for group with non-verbal order, 31 people for group with verbal order) at university where is located in G Province. Survey period was carried out from October 7, 2010 to October 21. Analytical method was made by using SPSS WIN(Version 12.0). As a result of research, the group with non-verbal order was indicated to be higher in accuracy of CPR on artificial respiration and chest compression in several spheres than the group with verbal order. Based on this, the non-verbal order method needs to try to be applied to performing education and skills of CPR in the future. And, even a continuous research is needed on effectiveness between verbal order method and non-verbal order method in an emergency situation.

ORDER RESTRICTED STATISTICAL INFERENCE ON LORENZ CURVES OF PARETO DISTRIBUTIONS

  • Oh, Myongsik
    • Journal of applied mathematics & informatics
    • /
    • v.13 no.1_2
    • /
    • pp.457-470
    • /
    • 2003
  • The comparison of two or more Lorenz curves of Pareto distributions of first kind under arbitrary order restriction is studied. The problem is turned out to be a statistical inference problem concerning scale parameters under order restriction. We assume that the location parameters of Palate distributions are completely unknown. In this paper the maximum likelihood estimation and likelihood ratio tests for and against order restriction are proposed.

A Survey on housewives' dining-out behaviour in Pusan (부산지역 주부의 외식행동에 관한 조사연구)

  • Moon, Jung-Won;Ahn, Jae-Doo
    • Journal of the Korean Society of Food Culture
    • /
    • v.11 no.3
    • /
    • pp.359-367
    • /
    • 1996
  • To study the dining-out behaviour of housewives, the frequency, food preference, expense for dining-out in social meeting, family gathering, daily meal were surveyed with a total of 454 housewives in Pusan. (1) In the frequency of dining-out, once or twice a month shows highest percentage, 59.7% and 45.8% for social meeting and family gathering respectively. The occasion of dining-out for the daily meal is less than 1 or 2 time per 6 month (62.6%). The frequency of dining-out is highly dependent on demographic variables such as age, academic background, family income, car ownership. (2) The preference for Korean dishes is found to be top in all occasions for dining-out. And then for the social meeting, chinese food, western style food, japanese cuisine and fast food are prefered in order, while western style food and chinese cuisine are rather prefered than japanese cuisine and fast food in occasion for family gathering. When they dine out just for daily meal, korean food is prefered first and preference for chinese food, western style food, fast food is followed in order. Japanese cuisine is prefered the last. (3) Housewives answered highly that the expense they spend for dining-out for social meeting (45.8%) or family fathering (46.8%) is 5,000 to 10,000 won per person. For these occasions, the expense is different with family income. Namely, if their income is higher than 3,000,000 won, they usually spend 10,000 to 15,000 won for the occasion. And for the daily dining-out, 34.7% respondents answered that they spend 2,000 to 3,000 won.

  • PDF

Routh Approximants with Arbitrary Order

  • Younseok Choo;Kim, Dongmin
    • Transactions on Control, Automation and Systems Engineering
    • /
    • v.1 no.1
    • /
    • pp.50-53
    • /
    • 1999
  • It has been pointed out in the literature that the Routh approximation method for order reduction has limitations in treating transfer functions with the denominator-numerator order difference not equal to one. The purpose of this paper is to present a new algorithm based on the Routh approximation method that can be applied to general rational transfer functions, yield ing reduced models with arbitrary order.

  • PDF

High Out-of-Plane Alignment of Liquid Crystalline Methacrylate Copolymer Bearing Photoreactive 4-Styrylpyridine Moiety

  • Kwak, Gi-Seop;Kong, Jong-Yun;Kim, Min-Woo;Hyun, Seok-Hee;Kim, Woo-Sik
    • Macromolecular Research
    • /
    • v.17 no.4
    • /
    • pp.271-275
    • /
    • 2009
  • This paper describes the out-of-plane order of a liquid crystalline(LC) methacrylate copolymer(3) comprised of a methacrylate(1) with a 4-styrylpyridine moiety as the photo-cyclodimerizable group and a benzoate moiety as the mesogenic group in the side chain, and another methacrylate(2) with a 4-(4-methoxyphenyl)benzoate moiety as the mesogenic group. The composition of 1 and 2 units in 3 was estimated to have a molar ratio of 54.2:45.8 by $^{1}H$ NMR spectroscopy. The X-ray diffraction study revealed that the copolymer forms a partial bilayer smectic structure. The copolymer gave rise to a high out-of-plane order parameter of about 0.74 in a wide LC temperature range of $110{\sim}160^{\circ}C$ after linearly polarized, UV light irradiation and subsequent annealing. Moreover, the external reflection IR analysis indicated that excess UV-light irradiation makes the out-of-plane LC structure of the copolymer appear in a higher and wider temperature range.

Theoretical Estimation of Machined Surface Profile by Tool Alignment Errors in Ball-End Milling (볼 엔드밀링에서의 공구 정렬 오차에 의한 가공면의 이론적인 평가)

  • Shin Y.J.;Park K.T.;Lee J.H.;Kang B.S.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2006.05a
    • /
    • pp.627-628
    • /
    • 2006
  • High speed milling process is emerging as an important fabrication process benefits include the ability to fabricate micro and meso-scale parts out of a greater range of materials and with more varied geometry. It also enables the creation of micro and meso-scale molds for injection molding. Factors affecting surface roughness have not been studied in depth for this process. A series of experiments has been conducted in order to begin to characterize the factors affecting surface roughness and determine the range of attainable surface roughness values for the high speed milling process. It has previously been shown that run-out creates a greater problem for the dimensional accuracy of pans created by high speed milling process. And run-out also has a more significant effect on the surface quality of milled parts. The surface roughness traces reveal large peak to valley variations. This run-out is generated by spindle dynamics and tool geometry. In order to investigate the relationship between tool alignment errors and surface roughness the scallop generating mechanism in the ball-end milling with tool alignement errors has been studied and simulated. The results indicate that tool alignment errors have no significant effects ell the dimension of scallops in for flat planes.

  • PDF

The Effects of Tool Setting Errors on Cutting Tool Vibrations (공구 진동에 대한 공구 셋팅 오차의 영향)

  • Shin Y.J.;Park K.T.;Kang B.S.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2005.06a
    • /
    • pp.199-202
    • /
    • 2005
  • High speed milling process is emerging as an important fabrication process benefits include the ability to fabricate micro and meso-scale parts out of a greater range of materials and with more varied geometry. It also enables the creation of micro and meso-scale molds for injection molding. Factors affecting surface roughness have not been studied in depth for this process. A series of experiments has been conducted in order to begin to characterize the factors affecting surface roughness and determine the range of attainable surface roughness values for the high speed milling process. It has previously been shown that run-out creates a greater problem for the dimensional accuracy of parts created by high speed milling process. And run-out also has a more significant effect on the surface quality of milled parts. The surface roughness traces reveal large peak to valley variations. This run-out is generated by spindle dynamics and tool geometry. In order to investigate the relationship between tool setting errors and surface roughness end tilted mills were used to cut aluminum samples. The results indicate that tool setting errors have significant effects on surface roughness and cutting forces.

  • PDF

Noninformative Priors for the Common Scale Parameter in the Inverse Gaussian Distributions

  • Kang, Sang-Gil
    • Journal of the Korean Data and Information Science Society
    • /
    • v.15 no.4
    • /
    • pp.981-992
    • /
    • 2004
  • In this paper, we develop the noninformative priors for the common scale parameter in the inverse gaussian distributions. We developed the first and second order matching priors. Next we revealed that the second order matching prior satisfies a HPD matching criterion. Also we showed that the second order matching prior matches alternative coverage probabilities up to the second order. It turns out that the one-at-a-time reference prior satisfies a second order matching criterion. Some simulation study is performed.

  • PDF