• Title/Summary/Keyword: out-of-order

Search Result 21,652, Processing Time 0.048 seconds

A Performance Study of Multi-core Out-of-Order Superscalar Processor Architecture (멀티코어 비순차 수퍼스칼라 프로세서의 성능 연구)

  • Lee, Jong-Bok
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.61 no.10
    • /
    • pp.1502-1507
    • /
    • 2012
  • In order to overcome the hardware complexity and power consumption problems, recently the multi-core architecture has been prevalent. For hardware simplicity, usually RISC processor is adopted as the unit core processor. However, if the performance of unit core processor is enhanced, the overall performance of the multi-core processor architecture can be further increased. In this paper, out-of-order superscalar processor is utilized for the multi-core processor architecture. Using SPEC 2000 benchmarks as input, the trace-driven simulation has been performed for the out-of-order superscalar cores between 2 and 16 extensively. As a result, the 16-core out-of-order superscalar processor for the window size of 16 resulted in 17.4 times speed up over the single-core out-of-order superscalar processor, and 50 times speed up over the single core RISC processor. When compared for the same number of cores on the average, the multi-core out-of-order superscalar processor performance achieved 3.2 times speed up over the multi-core RISC processor and 1.6 times speed up over the multi-core in-order superscalar processor.

An Efficient Complex Event Processing Algorithm based on INFA-HTS for Out-of-order RFID Event Streams

  • Wang, Jianhua;Wang, Tao;Cheng, Lianglun;Lu, Shilei
    • KSII Transactions on Internet and Information Systems (TIIS)
    • /
    • v.10 no.9
    • /
    • pp.4307-4325
    • /
    • 2016
  • With the aim of solving the problems of long processing times, high memory consumption and low event throughput in the current processing approaches in out-of-order RFID event streams, an efficient complex event processing method based on INFA-HTS (Improved Nondeterministic Finite Automaton-Hash Table Structure) is presented in this paper. The contribution of this paper lies in the fact that we use INFA and HTS to successfully realize the detection of complex events for out-of-order RFID event streams. Specifically, in our scheme, to detect the disorder of out-of-order event streams, we expand the traditional NFA model into a new INFA model to capture the related RFID primitive events from the out-of-order event stream. To high-efficiently manage the large intermediate capturing results, we use the HTS to store and process them. As a result, these problems in the existing methods can be effectively solved by our scheme. The simulation results of our experiments show that our proposed method in this paper outperforms some of the current general processing approaches used to process out-of-order RFID event streams.

A Survey on the Actual Condition for Dining-out in Pusan 1. The Propensity to Dining-out According to Age Groups and Sex Distinction (부산지역의 외식실태조사 1. 연령과 성별에 따른 외식 성향)

  • 김두진
    • The Korean Journal of Food And Nutrition
    • /
    • v.7 no.3
    • /
    • pp.239-249
    • /
    • 1994
  • This study was conducted to find out the actual condition of dining-out and the propensity to dining-out according to age groups and sex distinction in Pusan area. The survey was conducted on 464 peoples who live or work, including students aged more than 4th grade in elementary school In Pusan area. The results of the questionnaires are as follows. The survey on dining-out time indicated that most of peoples like to dine out at supper time more than at luncheon. The motivations of dining out were in order of the time going out with family, having party and meeting with friends. Restaurants used frequently at luncheon time were in order of flour food shop, Chinese-style food shop and Korean-style food shop, and at supper time were in order of Korean-style food shop, roasts rib shop and Chinese-style food shop. The survey on dining-out cost indicated that 71.88% of respondents were payed out less than 4,000 won Per one person at luncheon, but 54.67% of them were payed out more than 5,000 won at supper. The reasons of prefferring food shop used first were in order of delicious taste, sanitary circumstance and economical price, and of no prefferring food shop used first were untasty, unsanitary circumstance and expensiveness. But, the results of the propensity to dining-out were different according to sex distinction, age groups and jobs.

  • PDF

A Survey on the Actual Condition for Dinning-out in Busan 3. The Propensity to Dinning-out at Luncheon Time (부산지역의 외식실태조사 3. 점심 식사시의 외식성향)

  • 김두진
    • The Korean Journal of Food And Nutrition
    • /
    • v.14 no.1
    • /
    • pp.69-76
    • /
    • 2001
  • This study was conducted to find out the actual condition of dining-out and the propensity to dinning-out at luncheon time according to gender, occupation and age in Busan area. The survey was conducted on 564 peoples who live or work, including students acted more than 4th grade in elementary school in Busan area. The results of the questionaires are as follows ; The place to eating-out at luncheon time in order of "about the office or the school" and "about the house". Restaurants used frequently were in order of "Flour food shop", "Korean-style food shop" and " "Chinese-style food shop". Partner to eating-out were in order of "friend", "fellow worker" and "family" But. the results of the place to eating-out, restaurants used frequently and partner to eating-out at luncheon time were different according to sex, occupation and age groups.

  • PDF

The Design and Simulation of Out-of-Order Execution Processor using Tomasulo Algorithm (토마술로 알고리즘을 이용하는 비순차실행 프로세서의 설계 및 모의실행)

  • Lee, Jongbok
    • The Journal of the Institute of Internet, Broadcasting and Communication
    • /
    • v.20 no.4
    • /
    • pp.135-141
    • /
    • 2020
  • Today, CPUs in general-purpose computers such as servers, desktops and laptops, as well as home appliances and embedded systems, consist mostly of multicore processors. In order to improve performance, it is required to use an out-of-order execution processor by Tomasulo algorithm as each core processor. An out-of-order execution processor with Tomasulo algorithm can execute the available instructions in any order and perform speculation in order to reduce control dependencies. Therefore, the performance of an out-of-order execution processor can be significantly improved compared to an in-order execution processor. In this paper, an out-of-order execution processor using Tomasulo algorithm and ARM instruction set is designed using VHDL record data types and simulated by GHDL. As a result, it is possible to successfully perform operations on programs written in ARM instructions.

Take-Out Pre-Order System Design for Customer Convenience (고객 편의를 위한 Take-Out 예약주문 시스템 설계)

  • Suk, Myunggun;Kim, Changjae
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2014.10a
    • /
    • pp.1000-1003
    • /
    • 2014
  • As smart phones have became popular in recent years, use rate of smart phones application of mobile subscribers is now gradually increasing. Smart phones have a considerable effect on real life of users. Especially, mobile payment service using smart phones is outstanding in convenience because of its simple way to check out online. Therefore, in this paper, the database was designed for inquiring and making pre-order for take-out products based on connivence. This paper offers pre-order design to have order information standardized, menus which store owners can register and manage, and statistical data based on sales. It can be cost and time-efficient by using integrated pre-order system across all franchises. If this take-out pre-order system becomes more widely available, it will increase profit for both users and franchises due to cost and time efficiency.

  • PDF

Take-Out Pre-Order System Design for Customer Convenience (고객 편의를 위한 Take-Out 예약주문 시스템 설계)

  • Suk, Myunggun;Kim, Changjae
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2014.10a
    • /
    • pp.461-464
    • /
    • 2014
  • As smart phones have became popular in recent years, use rate of smart phones application of mobile subscribers is now gradually increasing. Smart phones have a considerable effect on real life of users. Especially, mobile payment service using smart phones is outstanding in convenience because of its simple way to check out online. Therefore, in this paper, the database was designed for inquiring and making pre-order for take-out products based on connivence. This paper offers pre-order design to have order information standardized, menus which store owners can register and manage, and statistical data based on sales. It can be cost and time-efficient by using integrated pre-order system across all franchises. If this take-out pre-order system becomes more widely available, it will increase profit for both users and franchises due to cost and time efficiency.

  • PDF

Design and Simulation for Out-of-Order Execution Processor of a Fully Pipelined Scheme (완전한 파이프라인 방식의 비순차실행 프로세서의 설계 및 모의실행)

  • Lee, Jongbok
    • The Journal of the Institute of Internet, Broadcasting and Communication
    • /
    • v.20 no.5
    • /
    • pp.143-149
    • /
    • 2020
  • Currently, a multi-core processor is mainly used as a central processing unit of a computer system, and a high-performance out-of-order processor is adopted as each core to maximize system performance. The early out-of-order execution processor with Tomasulo algorithm aimed at floating-point instructions, and it took several cycles to execute by the use of complex structures such as reorder buffer and reservation station. However, in order for the processor to properly utilize out-of-order execution and increase the throughput of instructions, it must operate in a fully pipelined manner. In this paper, a fully pipelined out-of-order processor with speculative execution is designed with VHDL and verified with GHDL. As a result of the simulation, a program composed of ARM instructions is successfully performed.

Heuristics for Carrying-out of Export Containers in Container Terminal

  • PARK, Young-Kyu
    • The Journal of Industrial Distribution & Business
    • /
    • v.13 no.12
    • /
    • pp.59-71
    • /
    • 2022
  • Purpose: Re-handling is an important factor that reduces the productivity of container terminals. The purpose of this paper is to propose an algorithm to find the order of container movement in order to minimize the number of re-handling in the process of carrying-out. Research design, data and methodology: This paper proposes an algorithm to set the optimal carry-out order and conducted tests to evaluate the performance of the algorithm proposed in this paper. 1. tests comparing the performance of an algorithm proposed in an existing study with that proposed in this paper. 2. Performance tests for bays with complex structures. Results: Test 1 shows that the algorithm proposed in this paper performs better than the existing algorithm. Test 2 shows that the proposed algorithm can also be used in bays with considerably high complexity and that there is no major problem with using it in the field. Conclusion: While we can conclude that the proposed algorithm as a carry-out algorithm is more effective than conventional methods, research is needed on how to handle more complex bays more effectively. This is because the larger the bay, the more container combinations increase, making it difficult to find the best carry-out order.

First Order Difference-Based Error Variance Estimator in Nonparametric Regression with a Single Outlier

  • Park, Chun-Gun
    • Communications for Statistical Applications and Methods
    • /
    • v.19 no.3
    • /
    • pp.333-344
    • /
    • 2012
  • We consider some statistical properties of the first order difference-based error variance estimator in nonparametric regression models with a single outlier. So far under an outlier(s) such difference-based estimators has been rarely discussed. We propose the first order difference-based estimator using the leave-one-out method to detect a single outlier and simulate the outlier detection in a nonparametric regression model with the single outlier. Moreover, the outlier detection works well. The results are promising even in nonparametric regression models with many outliers using some difference based estimators.