• 제목/요약/키워드: nanoelectronics

검색결과 82건 처리시간 0.032초

Changes of Electrical Properties of Graphene upon Introduction of Structural Defects and Gas Exposure

  • Kim, Kang-Hyun;Kang, Hae-Yong;Lee, Jae-Woo;Lee, Nam-Hee;Woo, Byung-Chill;Yun, Wan-Soo
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.474-474
    • /
    • 2011
  • Graphene is considered as a potential candidate for the key material in the ideal 2D nanoelectronics. Recently, it is reported that graphene has an interesting sensitivity to molecular adsorption on it. Such properties are believed to be enhanced by the existence of disorders and ripples inside graphene as well as by the interaction with the substrate underneath. Here, we report the effect of introducing structural disorders to the graphene on its electrical properties such as conductance, transconductance, low frequency noise, which can be successfully described by a simple model of the continuum percolation. In addition, the response of the graphene device to gaseous molecular adsorption was systematically investigated and the results were discussed along with the change in Raman spectra.

  • PDF

Low Work Function and Sharp Field Emitter Arrays by Transfer Mold Fabrication Method

  • Nakamoto, Masayuki;Sato, Genta;Shiratori, Kohji
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2007년도 7th International Meeting on Information Display 제7권1호
    • /
    • pp.1049-1052
    • /
    • 2007
  • Extremely sharp and uniform Transfer Mold FEAs with thin film low work function TiN emitter material have been fabricated by controlling the thickness of the coated emitter materials to realize high efficient, high reliable and low-cost vacuum nanoelectronic devices..Their tip radii are 8.3-13.8 nm. Turn-on electric fields of the Ni FEAs and TiN-FEAs resulted in the low electric field values of $31.6\;V/{\mu}m$ and $44.2V/{\mu}m$,respectively, at the short emitter/anode distance: less than $30\;{\mu}m$, which are lower than those of conventional FE As such as Spindt type FEAs and carbon nan otube FEAs The Transfer Metal Mold fabrication method is one of the best methods of changing emit ter materials with sharp and uniform emit ter shapes.

  • PDF

Single-Crystal Organic Semiconductor Nanowires as Building Blocks for Nanojunction Devices

  • 이기석;이린;성명모
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제45회 하계 정기학술대회 초록집
    • /
    • pp.261.1-261.1
    • /
    • 2013
  • Well-aligned nanowire arrays can be used as building blocks for nanoscale device. Recently, we reported that well-aligned single-crystal organic nanowires has been created by using a direct printing method which is named liquid-bridge mediated nanotransfer molding (LB-nTM). Moreover, multi-layering nanostructures can be fabricated by repeating this printing process. As a result, it is possible to make simple and basic concept of heterojunction devices such as crossed nanowire devices. We fabricated crossed single-crystal organic nanowires nanojunction devices from 6,13-bis (triisopropylsilylethynyl) pentacene (TIPS-PEN) and fullerene (C60) single-crystal nanowires using by direct printing method in solution process. Crossed TIPSPEN/ C60 single-crystal nanowires diode has rectifying behavior with on/off ratios of ~13. In addition, the device shows photodiode characteristics as well as rectification. Our study represent methodology of heterojunction devices using single-crystal nanowires, thereby provide a new direction of future nanoelectronics.

  • PDF

Enhancement of Surface Diffusivity for Waviness Evolution on Heteroepitaxial Thin Films

  • Kim, Yun Young
    • 한국표면공학회지
    • /
    • 제47권6호
    • /
    • pp.287-292
    • /
    • 2014
  • The present study deals with a numerical analysis on the island growth of heteroepitaxial thin-films through local surface diffusivity enhancement. A non-linear governing equation for the surface waviness evolution in lattice-mismatched material systems is developed for the case of spatially-varying surface diffusivity. Results show that a flat film that is stable under constant diffusivity conditions evolves to form nanostructures upon externally-induced spatial diffusivity modulation. The periodicity of waviness can be controlled by changing the modulation parameters, which allows for generation of pattern arrays. The present study therefore points towards a post-deposition treatment technique that achieves controllability and order in the structure formation process for applications in nanoelectronics and thin-film devices.

Capillary Assembly of Silicon Nanowires Using the Removable Topographical Patterns

  • Hong, Juree;Lee, Seulah;Lee, Sanggeun;Seo, Jungmok;Lee, Taeyoon
    • 한국재료학회지
    • /
    • 제24권10호
    • /
    • pp.509-514
    • /
    • 2014
  • We demonstrate a simple and effective method to accurately position silicon nanowires (Si NWs) at desirable locations using drop-casting of Si NW inks; this process is suitable for applications in nanoelectronics or nanophotonics. Si NWs were assembled into a lithographically patterned sacrificial photoresist (PR) template by means of capillary interactions at the solution interface. In this process, we varied the type of solvent of the SiNW-containing solution to investigate different assembly behaviors of Si NWs in different solvents. It was found that the assembly of Si NWs was strongly dependent on the surface energy of the solvents, which leads to different evaporation modes of the Si NW solution. After Si NW assembly, the PR template was cleanly removed by thermal decomposition or chemical dissolution and the Si NWs were transferred onto the underlying substrate, preserving its position without any damage. This method enables the precise control necessary to produce highly integrated NW assemblies on all length scales since assembly template is easily fabricated with top-down lithography and removed in a simple process after bottom-up drop-casting of NWs.

Generalized Hardware Post-processing Technique for Chaos-Based Pseudorandom Number Generators

  • Barakat, Mohamed L.;Mansingka, Abhinav S.;Radwan, Ahmed G.;Salama, Khaled N.
    • ETRI Journal
    • /
    • 제35권3호
    • /
    • pp.448-458
    • /
    • 2013
  • This paper presents a generalized post-processing technique for enhancing the pseudorandomness of digital chaotic oscillators through a nonlinear XOR-based operation with rotation and feedback. The technique allows full utilization of the chaotic output as pseudorandom number generators and improves throughput without a significant area penalty. Digital design of a third-order chaotic system with maximum function nonlinearity is presented with verified chaotic dynamics. The proposed post-processing technique eliminates statistical degradation in all output bits, thus maximizing throughput compared to other processing techniques. Furthermore, the technique is applied to several fully digital chaotic oscillators with performance surpassing previously reported systems in the literature. The enhancement in the randomness is further examined in a simple image encryption application resulting in a better security performance. The system is verified through experiment on a Xilinx Virtex 4 FPGA with throughput up to 15.44 Gbit/s and logic utilization less than 0.84% for 32-bit implementations.

Synthesis and Applications of Noble Metal and Metal Silicide and Germanide 1-Dimensional Nanostructures

  • Yoon, Ha-Na;Yoo, Young-Dong;Seo, Kwan-Yong;In, June-Ho;Kim, Bong-Soo
    • Bulletin of the Korean Chemical Society
    • /
    • 제33권9호
    • /
    • pp.2830-2844
    • /
    • 2012
  • This review covers recent developments in our group regarding the synthesis, characterization and applications of single-crystalline one-dimensional nanostructures based on a wide range of material systems including noble metals, metal silicides and metal germanides. For the single-crystalline one-dimensional nanostructures growth, we have employed chemical vapor transport approach without using any catalysts, capping reagents, and templates because of its simplicity and wide applicability. Au, Pd, and Pt nanowires are epitaxially grown on various substrates, in which the nanowires grow from seed crystals by the correlations of the geometry and orientation of seed crystals with those of as-grown nanowires. We also present the synthesis of numerous metal silicide and germanide 1D nanostructures. By simply varying reaction conditions, furthermore, nanowires of metastable phase, such as $Fe_5Si_3$ and $Co_3Si$, and composition tuned cobalt silicides (CoSi, $Co_2Si$, $Co_3Si$) and iron germanides ($Fe_{1.3}Ge$ and $Fe_3Ge$) nanowires are synthesized. Such developments can be utilized as advanced platforms or building blocks for a wide range of applications such as plasmonics, sensings, nanoelectronics, and spintronics.

Nonvolatile Ferroelectric Memory Devices Based on Black Phosphorus Nanosheet Field-Effect Transistors

  • 이효선;이윤재;함소라;이영택;황도경;최원국
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2016년도 제50회 동계 정기학술대회 초록집
    • /
    • pp.281.2-281.2
    • /
    • 2016
  • Two-dimensional van der Waals (2D vdWs) materials have been extensively studied for future electronics and materials sciences due to their unique properties. Among them, black phosphorous (BP) has shown infinite potential for various device applications because of its high mobility and direct narrow band gap (~0.3 eV). In this work, we demonstrate a few-nm thick BP-based nonvolatile memory devices with an well-known poly(vinylidenefluoride-trifluoroethylene) [P(VDF-TrFE)] ferroelectric polymer gate insulator. Our BP ferroelectric memory devices show the highest linear mobility value of $1159cm^2/Vs$ with a $10^3$ on/off current ratio in our knowledge. Moreover, we successfully fabricate the ferroelectric complementary metal-oxide-semiconductor (CMOS) memory inverter circuits, combined with an n-type $MoS_2$ nanosheet transistor. Our memory CMOS inverter circuits show clear memory properties with a high output voltage memory efficiency of 95%. We thus conclude that the results of our ferroelectric memory devices exhibit promising perspectives for the future of 2D nanoelectronics and material science. More and advanced details will be discussed in the meeting.

  • PDF

Integrated Circuit Design Based on Carbon Nanotube Field Effect Transistor

  • Kim, Yong-Bin
    • Transactions on Electrical and Electronic Materials
    • /
    • 제12권5호
    • /
    • pp.175-188
    • /
    • 2011
  • As complementary metal-oxide semiconductor (CMOS) continues to scale down deeper into the nanoscale, various device non-idealities cause the I-V characteristics to be substantially different from well-tempered metal-oxide semiconductor field-effect transistors (MOSFETs). The last few years witnessed a dramatic increase in nanotechnology research, especially the nanoelectronics. These technologies vary in their maturity. Carbon nanotubes (CNTs) are at the forefront of these new materials because of the unique mechanical and electronic properties. CNTFET is the most promising technology to extend or complement traditional silicon technology due to three reasons: first, the operation principle and the device structure are similar to CMOS devices and it is possible to reuse the established CMOS design infrastructure. Second, it is also possible to reuse CMOS fabrication process. And the most important reason is that CNTFET has the best experimentally demonstrated device current carrying ability to date. This paper discusses and reviewsthe feasibility of the CNTFET's application at this point of time in integrated circuits design by investigating different types of circuit blocks considering the advantages that the CNTFETs offer.

다중벽 탄소 나노튜브를 이용한 유동 압력 검출 (Pressure sensing of air flow using multi-walled carbon nanotubes)

  • 송진원;이종홍;이응숙;한창수
    • 센서학회지
    • /
    • 제16권5호
    • /
    • pp.377-383
    • /
    • 2007
  • We describe the fabrication and characterization of a doubly clamped multi-walled carbon nanotube (MWNT). The device was assembled by an application of electric field in solution. The MWNT was clamped on end of metal trench electrodes in solution and deposited with additional platinum (Pt) on edge of electrode for firmly suspending the MWNT by focused ion beam (FIB). The MWNTs range of diameter and length were 100 to 150 nm and 1.5 to $2{\mu}m$, respectively. Electrical characteristics of fabricated devices were measured by I-V curve and impedance analysis. The mechanical deformation was observed by resistivity in high air pressure. Resonant frequency around 6.8 MHz was detected and resistivity was linearly varied according to the magnitude of air pressure. This device could have potential applications in nanoelectronics and various sensors.