• 제목/요약/키워드: ion beam etching

검색결과 133건 처리시간 0.033초

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Fabrication of Photo Sensitive Graphene Transistor Using Quantum Dot Coated Nano-Porous Graphene

  • 장야무진;이재현;최순형;임세윤;이종운;배윤경;황종승;황성우;황동목
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.658-658
    • /
    • 2013
  • Graphene is an attractive material for various device applications due to great electrical properties and chemical properties. However, lack of band gap is significant hurdle of graphene for future electrical device applications. In the past few years, several methods have been attempted to open and tune a band gap of graphene. For example, researchers try to fabricate graphene nanoribbon (GNR) using various templates or unzip the carbon nanotubes itself. However, these methods generate small driving currents or transconductances because of the large amount of scattering source at edge of GNRs. At 2009, Bai et al. introduced graphene nanomesh (GNM) structures which can open the band gap of large area graphene at room temperature with high current. However, this method is complex and only small area is possible. For practical applications, it needs more simple and large scale process. Herein, we introduce a photosensitive graphene device fabrication using CdSe QD coated nano-porous graphene (NPG). In our experiment, NPG was fabricated by thin film anodic aluminum oxide (AAO) film as an etching mask. First of all, we transfer the AAO on the graphene. And then, we etch the graphene using O2 reactive ion etching (RIE). Finally, we fabricate graphene device thorough photolithography process. We can control the length of NPG neckwidth from AAO pore widening time and RIE etching time. And we can increase size of NPG as large as 2 $cm^2$. Thin CdSe QD layer was deposited by spin coatingprocess. We carried out NPG structure by using field emission scanning electron microscopy (FE-SEM). And device measurements were done by Keithley 4200 SCS with 532 nm laser beam (5 mW) irradiation.

  • PDF

Plasma CVD에 의해 제조된 Iron Silicide 박막의 광학적 특성 (Optical Characteristics of Iron Silicide Films Prepared by Plasma CVD)

  • 김경수;윤용수;정일현
    • 공업화학
    • /
    • 제10권3호
    • /
    • pp.343-348
    • /
    • 1999
  • 저온 공정이 가능한 rf-plasma를 이용한 화학증착법으로 기판의 온도, 출력, $SiH_4$와 천음 함유한 유기화합불 진구체의 희석비 등을 변수로 각 실험 조건에 따라 iron silicide를 제조하였다. 일반적으로 iron silicide 막은 다단계 공정의 Ion Beam Synthesis (IBS)법으로 성장시키고 있으나, 플라즈마를 사용함으로써 단일공정에 의해 $Fe_aSi_bC_cH_d$로 결합된 iron silicide 및 ${\beta}$-상이 형성될 수 있음을 확인하였다. 철 전구체와 실란 (silane)의 희석비에 따라 막 내에 존재하는 탄소와 수소양의 차이로 인해 서로 다른 막의 특성을 나타내었다. 기관의 온도에 따른 광학에너지갭 ($E_b^{opt}$)은 박 표면에 존재하는 수소가 탈착되면서 제공할 수 있는 활성점이 한정되어 있기 때문에 큰 변화가 없었다. 240 watt 이하의 출력에서는 광학에너지갭이 감소하였고, 240 watt 이상의 높은 출력에서는 식각에 의해 미결합수가 증가하여 광학에너지갭은 높게 나타났다.

  • PDF

원자층 식각을 이용한 Sub-32 nm Metal Gate/High-k Dielectric CMOSFETs의 저손상 식각공정 개발에 관한 연구

  • 민경석;김찬규;김종규;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.463-463
    • /
    • 2012
  • ITRS (international technology roadmap for semiconductors)에 따르면 MOS(metal-oxide-semiconductor)의 CD (critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/$SiO_2$를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두된다고 보고하고 있다. 일반적으로 high-k dielectric를 식각시 anisotropic 한 식각 형상을 형성시키기 위해서 plasma를 이용한 RIE (reactive ion etching)를 사용하고 있지만 PIDs (plasma induced damages)의 하나인 PIED (plasma induced edge damage)의 발생이 문제가 되고 있다. PIED의 원인으로 plasma의 direct interaction을 발생시켜 gate oxide의 edge에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 high-k dielectric의 식각공정에 HDP (high density plasma)의 ICP (inductively coupled plasma) source를 이용한 원자층 식각 장비를 사용하여 PIED를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. One-monolayer 식각을 위한 1 cycle의 원자층 식각은 총 4 steps으로 구성 되어 있다. 첫 번째 step은 Langmuir isotherm에 의하여 표면에 highly reactant atoms이나 molecules을 chemically adsorption을 시킨다. 두 번째 step은 purge 시킨다. 세 번째 step은 ion source를 이용하여 발생시킨 Ar low energetic beam으로 표면에 chemically adsorbed compounds를 desorption 시킨다. 네 번째 step은 purge 시킨다. 결과적으로 self limited 한 식각이 이루어짐을 볼 수 있었다. 실제 공정을 MOS의 high-k dielectric에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU (North Carolina State University) CVC model로 구한 EOT (equivalent oxide thickness)는 변화가 없으면서 mos parameter인 Ion/Ioff ratio의 증가를 볼 수 있었다. 그 원인으로 XPS (X-ray photoelectron spectroscopy)로 gate oxide의 atomic percentage의 분석 결과 식각 중 발생하는 gate oxide의 edge에 trap의 감소로 기인함을 확인할 수 있었다.

  • PDF

Nanowire Patterning for Biomedical Applications

  • Yun, Young-Sik;Lee, Jun-Young;Yeo, Jong-Souk
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.382-382
    • /
    • 2012
  • Nanostructures have a larger surface/volume ratio as well as unique mechanical, physical, chemical properties compared to existing bulk materials. Materials for biomedical implants require a good biocompatibility to provide a rapid recovery following surgical procedure and a stabilization of the region where the implants have been inserted. The biocompatibility is evaluated by the degree of the interaction between the implant materials and the cells around the implants. Recent researches on this topic focus on utilizing the characteristics of the nanostructures to improve the biocompatibility. Several studies suggest that the degree of the interaction is varied by the relative size of the nanostructures and cells, and the morphology of the surface of the implant [1, 2]. In this paper, we fabricate the nanowires on the Ti substrate for better biocompatible implants and other biomedical applications such as artificial internal organ, tissue engineered biomaterials, or implantable nano-medical devices. Nanowires are fabricated with two methods: first, nanowire arrays are patterned on the surface using e-beam lithography. Then, the nanowires are further defined with deep reactive ion etching (RIE). The other method is self-assembly based on vapor-liquid-solid (VLS) mechanism using Sn as metal-catalyst. Sn nanoparticle solutions are used in various concentrations to fabricate the nanowires with different pitches. Fabricated nanowries are characterized using scanning electron microscopy (SEM), x-ray diffraction (XRD), and high resolution transmission electron microscopy (TEM). Tthe biocompatibility of the nanowires will further be investigated.

  • PDF

다중모드간섭 현상에 입각한 1*4 폴리머 광파워분할기의 제작 (Fabrication of a 1*4 Polymeric Optical Power Divider Based on the Multi-Mode Interference Effect)

  • 김기홍;송현채;오태원;신상영;이운영
    • 전자공학회논문지D
    • /
    • 제35D권11호
    • /
    • pp.85-90
    • /
    • 1998
  • 다중모드간섭 현상에 입각한 1 4 폴리머 광파워분할기를 설계, 제작하였다. 이 광파워분할기는 2차원 유한차분 빔전파방법을 사용하여 설계하였다. 코어와 클래딩 물질로 각각 Cyclotene-3022과 UV-15이 사용되었고, 반응이온식각 공정에 의해 제작되었다. 제작된 1 4 광파워분할기의 각 출구에서의 측정된 광출력비는 TE모드에서 0.93 : 1.00 : 0.93 : 0.90, TM모드에서 0.84 : 0.94 : 1.00 : 0.83 이었다. 다중모드간섭 현상을 이용한 1 4 광파워분할기는 소자의 길이가 작고, 편광에 따른 의존도가 작은 장점이 있다.

  • PDF

$O_2$ plasma ashing을 이용한 그라핀 식각 실험 (Experiment of Graphene Etching by Using $O_2$ Plasma Ashing)

  • 오세만;김은호;박재민;조원주;정종완
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2009년도 하계학술대회 논문집
    • /
    • pp.424-424
    • /
    • 2009
  • 그라핀은 밴드갭이 없어서 세미메탈의 성질을 띠므로 초고속 RF 소자에는 응용이 가능하지만, 현재 사용되는 반도체 칩에 사용하기가 불가능하다. 그러나 그라핀을 매우 좁은 리본 형태로 만들 경우 밴드갭이 생기고 이에 따라 반도체특성을 뛰게 된다. 이러한 특성은 시뮬레이션을 통해서만 이해되다가 2007년 P. Kim이 그라핀 나노리본의 밴드캡이 리본의 폭이 좁아짐에 따라 증가함을 실험적으로 최초로 발표하였다. 하지만 그라핀을 나노리본형태로 식각 방법에 대해서는 정확히 연구되지 않았다. 따라서 본 연구에서는 $O_2$ plasma ashing 방법을 이용하여 그라핀을 식각하는 방법에 대해 연구하였다. 먼저 Si기판을 initial cleaning 한 후, highly-oriented pyrolytic graphite(HOPG)를 이용하여 기존의 mechanical exfoliation 방식을 통해 그라핀을 형성하였다. Photo-lithography 방법을 통하여 패터닝한 후, 그라핀을 식각하기 위하여 Reactive Ion Etcher (RIE) system을 이용한 $O_2$ plasma ashing을 50 W에서 1 분간 실시하였다. 다시 image reverse photo-lithography 과정과 E-beam evaporator system를 통해서 Al 전극을 형성하여 graphene-FET를 제작하였고, 광학 현미경과 AFM (Atomic force microscope)을 통해 두께를 확인하였다. 본 연구를 통하여 $O_2$ plasma ashing을 이용하여 쉽게 그라 E을 식각할 수 있음을 확인 하였으며, 제작된 소자의 전기적 특성에 대해서 현재 실험중에 있다.

  • PDF

Nanoscale Floating-Gate Characteristics of Colloidal Au Nanoparticles Electrostatically Assembled on Si Nanowire Split-Gate Transistors

  • Jeon, Hyeong-Seok;Park, Bong-Hyun;Cho, Chi-Won;Lim, Chae-Hyun;Ju, Heong-Kyu;Kim, Hyun-Suk;Kim, Sang-Sig;Lee, Seung-Beck
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제6권2호
    • /
    • pp.101-105
    • /
    • 2006
  • Nanoscale floating-gate characteristic of colloidal Au nanoparticles electrostatically assembled on the oxidized surface of Si nanowires have been investigated. The Si nanowire split-gate transistor structure was fabricated by electron beam lithography and subsequent reactive ion etching. Colloidal Au nanoparticles with ${\sim}5$ nm diameters were selectively deposited onto the Si nanowire surface by 2 min electrophoresis. It was found that electric fields applied to the self-aligned split side gates allowed charge to be transferred on the Au nanoparticles. It was observed that the depletion mode cutoff voltage, induced by the self-aligned side gates, was shifted by more than 1 V after Au nanoparticle electrophoresis. This may be due to the semi-one dimensional nature of the narrow Si nanowire transport channel, having much enhanced sensitivity to charges on the surface.

다양한 색상 구현을 위한 물리적 박막 증착 공정에 관한 연구

  • 김병철;김왕렬;김현승;오철욱;송선구;국형원;권민철
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.244.2-244.2
    • /
    • 2014
  • 금속, 플라스틱, 유리 등의 재료 표면에 다양한 색상을 표현하기 위해 일반적으로 습식 도금을 많이 적용하고 있다. 하지만 습식 도금은 공정 수가 많을 뿐만 아니라 위험물질 및 오염물질을 많이 사용하기 때문에 산업사고, 환경오염 등을 야기 시킨다. 따라서 본 연구에서는 친환경적 방법인 물리적기상증착(PVD ; Physical Vapor Deposition) 방식의 한 종류인 스퍼터링(Sputtering)으로 색상을 구현하였다. PVD 방식의 증착은 습식 도금 방식에 비해 친환경적이며, 전처리에서 후처리까지 한 공정으로 가능하다는 점이다. 스퍼터링은 PVD의 다른 방식인 E-beam 방식에 비해 대량생산을 할 수 있다는 장점이 있다. 양산형 스퍼터링 장비(${\Phi}1200mm{\times}H1400mm$)로 실험을 진행하였으며, 증착 물질은 Ti, Al, Cr 을 사용하였고, 반응성 가스(Reactive Gas) 로는 N2, C2H2 가스를 사용하였다. 전처리는 LIS (Linear Ion Source)로 식각(Etching) 하였고, 펄스직류전원공급장치(Pulsed DC Power Supply)를 사용하여 증착 하였으며, 증착시 기판에 bias (-100 V)를 인가 하였다. 그 결과 회색계열, 갈색계열 등 여러가지 색을 구현할 수 있었으며, 증착된 박막의 특성을 알아보기 위하여 색차계, 내마모 시험기, 연필경도 시험기를 사용하였다. 향후 후처리 공정으로 내지문(AF ; anti fingerprint coating) 박막 등과 같은 실용적인 박막을 증착할 계획이다.

  • PDF

Bonded SOI wafer의 top Si과 buried oxide layer의 결함에 대한 연구 (Characteristic Study for Defect of Top Si and Buried Oxide Layer on the Bonded SOI Wafer)

  • 김석구;백운규;박재근
    • 한국재료학회지
    • /
    • 제14권6호
    • /
    • pp.413-419
    • /
    • 2004
  • Recently, Silicon On Insulator (SOI) devices emerged to achieve better device characteristics such as higher operation speed, lower power consumption and latch-up immunity. Nevertheless, there are many detrimental defects in SOI wafers such as hydrofluoric-acid (HF)-defects, pinhole, islands, threading dislocations (TD), pyramid stacking faults (PSF), and surface roughness originating from quality of buried oxide film layer. Although the number of defects in SOI wafers has been greatly reduced over the past decade, the turn over of high-speed microprocessors using SOI wafers has been delayed because of unknown defects in SOI wafers. A new characterization method is proposed to investigate the crystalline quality, the buried oxide integrity and some electrical parameters of bonded SOI wafers. In this study, major surface defects in bonded SOI are reviewed using HF dipping, Secco etching, Cu-decoration followed by focused ion beam (FIB) and transmission electron microscope (TEM).