• Title/Summary/Keyword: hard mask

Search Result 69, Processing Time 0.033 seconds

Nano-patterning technology using an UV-NIL method (UV-NIL(Ultraviolet-Nano-Imprinting-Lithography) 방법을 이용한 나노 패터닝기술)

  • 심영석;정준호;손현기;신영재;이응숙;최성욱;김재호
    • Journal of the Korean Vacuum Society
    • /
    • v.13 no.1
    • /
    • pp.39-45
    • /
    • 2004
  • Ultraviolet-nanoimprint lithography (UV-NIL) is a promising method for cost-effectively defining nanoscale structures at room temperature and low pressure. A 5${\times}$5${\times}$0.09 in. quartz stamp is fabricated using the etch process in which a Cr film was employed as a hard mask for transferring nanostructures onto the quartz plate. FAS(Fluoroalkanesilane) is used as a material for anti-adhesion surface treatment on the stamp and a thin organic film to improve adhesion on a wafer is formed by spin-coating. The low viscosity resin droplets with a nanometer scale volume are dispensed on the whole area of the coated wafer. The UV-NIL experiments have been performed using the EVG620-NIL. 370 nm - 1 m features on the stamp have been transferred to the thin resin layer on the wafer using the multi-dispensing method and UV-NIL process. We have measured the imprinted patterns and residual layer using SEM and AFM to evaluate the potential of the process.

60 MHz/2 MHz Dual-Frequency Capacitive Coupled Plasma에서 Pulse-Time Modulation을 이용한 $SiO_2$의 식각특성

  • Kim, Hoe-Jun;Jeon, Min-Hwan;Yang, Gyeong-Chae;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.307-307
    • /
    • 2013
  • 초고집적 회로에 적용되는 반도체 소자의critical dimension (CD)이 수 nano 사이즈로 줄어들고 있기 때문에, 다양한 물질의 식각을 할 때, 건식식각의 중요성이 더 강조되고 있다. 특히 $SiO_2$와 같은 유전체 물질을 식각할 때, plasma process induced damages (P2IDs)가 관찰되어 왔고, 이러한 P2IDs를 줄이기 위해, pulsed-time modulation plasma가 광범위하게 연구되어 왔다. Pulsed plasma는 정기적으로 radio frequency (RF) power on과 off를 반복하여 rf power가 off된 동안, 평균전자 온도를 낮춤으로써, 웨이퍼로 입사되는 전하 축적을 효과적으로 줄일 수 있다. 또한 fluorocarbon plasmas를 사용하여 $SiO_2$를 식각하기 위해 Dual-Frequency Capacitive coupled plasma (DF-CCP)도 널리 연구되어 왔는데, 이것은 기존의 방법과는 다르게 plasma 밀도와 ion bombardment energy를 독립적으로 조절 가능하다는 장점이 있어서 미세 패턴을 식각할 때 효과적이다. 본 연구에서는 Source power에는 60 MHz pulsed radio frequency (RF)를, bias power에는 2 MHz continuous wave (CW) rf power가 사용된 system에서 Ar/$C_4$ F8/$O_2$ 가스 조합으로, amorphous carbon layer (ACL)가 hard mask로 사용된 $SiO_2$를 식각했다. 그리고 source pulse의 duty ratio와 pulse frequency의 효과에 따른 $SiO_2$의 식각특성을 연구하였다. 그 결과, duty ratio의 감소에 따라 $SiO_2$, ACL의 etch rate이 감소했지만, $SiO_2$/ACL의 etch selectivity는 증가하였다. 반면에 pulse frequency의 변화에 따른 두 물질의 etch selectivity는 크게 변화가 없었다. 그 이유는 pulse 조건인 duty ratio의 감소가 전자 온도 및 전자 에너지를 낮춰 $C_2F8$가스의 분해를 감소시켰으며, 이로 인해 식각된 $SiO_2$의 surface와 sidewall에 fluorocarbon polymer의 형성이 증가하였기 때문이다. 또한 duty ratio의 감소에 따라 etch selectivity뿐만 아니라 etch profile까지 향상되는 것을 확인할 수 있었다.

  • PDF

Images of Law and Reality in TV Legal Series: Focusing on (TV 법정 프로그램에 나타난 법 이미지와 현실구성: <실화극장-죄와 벌>을 중심으로)

  • Lee, Hee-Eun
    • Korean journal of communication and information
    • /
    • v.50
    • /
    • pp.121-142
    • /
    • 2010
  • Can law be combined with television entertainment programs? This paper explores the ways in which law systems and law culture are reflected in and reflect the television legal series. TV legal series, such as legal dramas and infotainment shows, provide platforms for the audiences, who otherwise have few opportunity in real life, to engage with legal systems in societies. Adopting loosely dramatized reality programs, these legal series not only entertain and inform audiences but also educate citizens. This paper combines analyses of theoretical debates on law and television with analysis of TV text. The result shows that , dramatized enactment based on true stories and criminal cases, may have an important ideological role in which fictionalized dramas mask the hard realities and authoritative legal systems. By doing so, TV legal shows play their roles not as mere symbolic representation but as powerful institutions that construct the image of law and reality.

  • PDF

보철 수복시 치간 유두에 대한 고려 사항

  • Lee, Sung-Bok;Lee, Seung-Gyu
    • Journal of the Korean Academy of Esthetic Dentistry
    • /
    • v.10 no.1
    • /
    • pp.30-45
    • /
    • 2001
  • In recent years, clinicians' and dentists' esthetic demands in dentistry have increased rapidly. The ultimate goal in modern restorative dentistry is to achieve "white" and "pink" esthetics in the esthetically important zones. Therefore, modern esthetic dentistry involves not only the restoration of lost teeth and their associated hard tissues, but increasingly the management and reconstruction of the encasing gingiva with adequate surgical techniques. Interdental space are filled by interdental papilla in the healthy gingiva, preventing plaque deposition and protecting periodontal tissue from infection. This also inhibits impaction of food remnants and whistling through the teeth during speech. These functional aspects are obviously important, but esthetic aspects are important as well. Complete and predictable restoration of lost interdental papillae remains one of the biggest challenges in periodontal reconstructive surgery. One of the most challenging and least predictable problems is the reconstruction of the lost interdental papilla. The interdental papilla, as a structure with minor blood supply, was left more or less untouched by clinicians. Most of the reconstructive techniques to rebuild lost interdental papillae focus on the maxillary anterior region, where esthetic defects appear interproximally as "black triangle". Causes for interdental tissue loss are, for example, commom periodontal diseases, tooth extraction, excessive surgical periodontal treatment, and localized progressive gingiva and periodontal diseases. If an interdental papilla is absent because of a diastema, orthodontic closure is the treatment of choice. "Creeping" papilla formation has been described by closing the interdental space and creating a contact area. In certain cases this formation can also be achieved with appropriate restorative techniques and alteration of the mesial contours of the adjacent teeth. The presence of an interdental papilla depends on the distance between the crest of bone and the interproximal contact point, allowing it to fill interdental spaces with soft tissue by altering the mesial contours of the adjacent teeth and positioning the contact point more apically. The interdental tissue can also be conditioned with the use of provisional crowns prior to the definitive restoration. If all other procedures are contraindicated or fail, prosthetic solutions have to be considered as the last possibility to rebuild lost interdental papillae. Interdental spaces can be filled using pink-colored resin or porcelain, and the use of a removable gingival mask might be the last opportunity to hide severe tissue defects.

  • PDF

Dry Etching of Pt/RuO$_{2}$ for Pb(Zr,Ti)O$_{3}$ by High Density Plasma (고밀도 플라즈마를 이용한 PZT용 Pt/RuO$_{2}$ 이중박막의 식각)

  • Lee, Jong-Geun;Park, Se-Geun
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.37 no.3
    • /
    • pp.1-5
    • /
    • 2000
  • Inductively coupled plasma (ICP) excited by a spiral planar antenna is used to etch elctrodes for PZT capacitors. Pt/RuO$_{2}$ bilayers are tested as bottom electrodes for PZT capacitors in order to utilize better leakage characteristics of Pt and easy etch characteristics of RuO$_{2}$ at the same time. The etch rates and selectivities to SiO$_{2}$ hard mask have been measured for each of Pt and RuO$_{2}$ in terms of various plasma conditions. As Cl$_{2}$ ratio increases in $O_{2}$/Cl$_{2}$ mixture, the etch rate of Pt increases while that of RuO$_{2}$ reaches the highest near 10 % of Cl$_{2}$. Optimum gas mixture ratio has been determined for etching Pt and RuO$_{2}$ bilayers sequentially, and sub-half micron patterning is demonstrated.

  • PDF

Investigation on Etch Characteristics of FePt Magnetic Thin Films Using a $CH_4$/Ar Plasma

  • Kim, Eun-Ho;Lee, Hwa-Won;Lee, Tae-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.167-167
    • /
    • 2011
  • Magnetic random access memory (MRAM) is one of the prospective semiconductor memories for next generation. It has the excellent features including nonvolatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack is composed of various magnetic materials, metals, and a tunneling barrier layer. For the successful realization of high density MRAM, the etching process of magnetic materials should be developed. Among various magnetic materials, FePt has been used for pinned layer of MTJ stack. The previous etch study of FePt magnetic thin films was carried out using $CH_4/O_2/NH_3$. It reported only the etch characteristics with respect to the variation of RF bias powers. In this study, the etch characteristics of FePt thin films have been investigated using an inductively coupled plasma reactive ion etcher in various etch chemistries containing $CH_4$/Ar and $CH_4/O_2/Ar$ gas mixes. TiN thin film was employed as a hard mask. FePt thin films are etched by varying the gas concentration. The etch characteristics have been investigated in terms of etch rate, etch selectivity and etch profile. Furthermore, x-ray photoelectron spectroscopy is applied to elucidate the etch mechanism of FePt thin films in $CH_4$/Ar and $CH_4/O_2/Ar$ chemistries.

  • PDF

The Influence of $O_2$ Gas on the Etch Characteristics of FePt Thin Films in $CH_4/O_2/Ar$ gas

  • Lee, Il-Hoon;Lee, Tea-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.408-408
    • /
    • 2012
  • It is well known that magnetic random access memory (MRAM) is nonvolatile memory devices using ferromagnetic materials. MRAM has the merits such as fast access time, unlimited read/write endurance and nonvolatility. Although DRAM has many advantages containing high storage density, fast access time and low power consumption, it becomes volatile when the power is turned off. Owing to the attractive advantages of MRAM, MRAM is being spotlighted as an alternative device in the future. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal- oxide semiconductor (CMOS). MTJ stacks are composed of various magnetic materials. FePt thin films are used as a pinned layer of MTJ stack. Up to date, an inductively coupled plasma reactive ion etching (ICPRIE) method of MTJ stacks showed better results in terms of etch rate and etch profile than any other methods such as ion milling, chemical assisted ion etching (CAIE), reactive ion etching (RIE). In order to improve etch profiles without redepositon, a better etching process of MTJ stack needs to be developed by using different etch gases and etch parameters. In this research, influences of $O_2$ gas on the etching characteristics of FePt thin films were investigated. FePt thin films were etched using ICPRIE in $CH_4/O_2/Ar$ gas mix. The etch rate and the etch selectivity were investigated in various $O_2$ concentrations. The etch profiles were studied in varying etch parameters such as coil rf power, dc-bias voltage, and gas pressure. TiN was employed as a hard mask. For observation etch profiles, field emission scanning electron microscopy (FESEM) was used.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Role of CH2F2 and N-2 Flow Rates on the Etch Characteristics of Dielectric Hard-mask Layer to Extreme Ultra-violet Resist Pattern in CH2F2/N2/Ar Capacitively Coupled Plasmas

  • Kwon, B.S.;Lee, J.H.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.210-210
    • /
    • 2011
  • The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.

  • PDF

Carbon 계 유기막질 Plasma Etching에 있어 COS (Carbonyl Sulfide) Gas 특성에 관한 연구

  • Kim, Jong-Gyu;Min, Gyeong-Seok;Kim, Chan-Gyu;Nam, Seok-U;Gang, Ho-Gyu;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.460-460
    • /
    • 2012
  • 반도체 Device가 Shrink 함에 따라 Pattern Size가 작아지게 되고, 이로 인해 Photo Resist 물질 자체만으로는 원하는 Patterning 물질들을 Plasma Etching 하기가 어려워지고 있다. 이로 인해 Photoresist를 대체할 Hard Mask 개념이 도입되었으며, 이 Hardmask Layer 중 Amorphous Carbon Layer 가 가장 널리 사용되고 지고 있다. 이 Amorphous Carbon 계열의 Hardmask를 Etching 하기 위해서 기본적으로 O2 Plasma가 사용되는데, 이 O2 Plasma 내의 Oxygen Species들이 가지는 등 방성 Diffusion 특성으로 인해, 원하고자 하는 미세 Pattern의 Vertical Profile을 얻는데 많은 어려움이 있어왔다. 이를 Control 하기 인해 O2 Plasma Parameter들의 변화 및 Source/Bias Power 등의 변수가 연구되어 왔으며, 이와 다른 접근으로, N2 및 CO, CO2, SO2 등의 여러 Additive Gas 들의 첨가를 통해 미세 Pattern의 Profile을 개선하고, Plasma Etching 특성을 개선하는 연구가 같이 진행되어져 왔다. 본 논문에서 VLSI Device의 Masking Layer로 사용되는, Carbon 계 유기 층의 Plasma 식각 특성에 대한 연구를 진행하였다. Plasma Etchant로 사용되는 O2 Plasma에 새로운 첨가제 가스인 카르보닐 황화물 (COS) Gas를 추가하였을 시 나타나는 Plasma 내의 변화를 Plasma Parameter 및 IR 및 XPS, OES 분석을 통하여 규명하고, 이로 인한 Etch Rate 및 Plasma Potential에 대해 비교 분석하였다. COS Gas를 정량적으로 추가할 시, Plasma의 변화 및 이로 인해 얻어지는 Pattern에서의 Etchant Species들의 변화를 통해 Profile의 변화를 Mechanism 적으로 규명할 수 있었으며, 이로 인해 기존의 O2 Plasma를 통해 얻어진 Vertical Profile 대비, COS Additive Gas를 추가하였을 경우, Pattern Profile 변화가 개선됨을 최종적으로 확인 할 수 있었다.

  • PDF