• 제목/요약/키워드: gas mask

검색결과 121건 처리시간 0.032초

Selective Chemical Vapor Deposition of $\beta$-SiC on Si Substrate Using Hexamethyldisilane/HCl/$H_2$ Gas System

  • Yang, Won-Jae;Kim, Seong-Jin;Chung, Yong-Sun;Auh, Keun-Ho
    • 한국결정성장학회:학술대회논문집
    • /
    • 한국결정성장학회 1998년도 PROCEEDINGS OF THE 15TH KACG TECHNICAL MEETING-PACIFIC RIM 3 SATELLITE SYMPOSIUM SESSION 4, HOTEL HYUNDAI, KYONGJU, SEPTEMBER 20-23, 1998
    • /
    • pp.91-95
    • /
    • 1998
  • Selectivity of SiC deposition on a Si substrate partially covered with a masking material was investigated by introducing HCl gas into hexamethyldisilane/H2 gas system during the deposition. the schedule of the precursor and HCl gas flows was modified so that the selectivity of SiC deposition between a Si substrate and a mask material should be improved. It was confirmed that the selectivity of SiC deposition was improved by introducing HCl gas. Also, the pulse gas flow technique was effective to enhance the selectivity.

  • PDF

$CO_2$ Laser Scribing법을 이용한 PDP용 격벽 제조 (Fabrication of Barrier Ribs for PDP by $CO_2$ Laser Scribing Method)

  • 안정식;이석영;김원용;전형조;이용호;김용석
    • 한국세라믹학회지
    • /
    • 제37권1호
    • /
    • pp.57-62
    • /
    • 2000
  • In this study, an attempt was made to demonstrate the possibility of using laser scribing method in manufacturing the barrier ribs of plasma display panel. The scribing with a CO2 laser was conducted on the green tape produced by the doctor blade tape casting method. Among the processing parameters, the quenching gas pressure, shape of mask, and laser power were found to affect the depth and angle of the scibed barrier ribs.

  • PDF

실린더내 흡기유동개선이 천연가스엔진의 연소성능에 미치는 영향 연구 (A Study on the Combustion Performance by the Improvement of In Cylinder Flow Motion in the Natural Gas Engine)

  • 정동수;서승우;오승묵;엄종호;장영준
    • 한국자동차공학회논문집
    • /
    • 제3권3호
    • /
    • pp.90-96
    • /
    • 1995
  • In general, natural gas engine converted from gasoline engine has disadvantage of power decrease. In order to increase power output in natural gas engine, the improvement of in-cylinder flow motion has been believed as the most effective method. In this study, the geometry of combustion chamber in 4 valve DOHC natural gas engine is modified, and in-cylinder flow patterns is analyized. Also engine performance is evaluated according to the modification of in-cylinder flow motion.

  • PDF

CMOS공정 기반의 저전력 NO 마이크로가스센서의 제작 (Fabrication of low power NO micro gas senor by using CMOS compatible process)

  • 신한재;송갑득;이홍진;홍영호;이덕동
    • 센서학회지
    • /
    • 제17권1호
    • /
    • pp.35-40
    • /
    • 2008
  • Low power bridge type micro gas sensors were fabricated by micro machining technology with TMAH (Tetra Methyl Ammonium Hydroxide) solution. The sensing devices with different heater materials such as metal and poly-silicon were obtained using CMOS (Complementary Metal Oxide Semiconductor) compatible process. The tellurium films as a sensing layer were deposited on the micro machined substrate using shadow silicon mask. The low power micro gas sensors showed high sensitivity to NO with high speed. The pure tellurium film used micro gas sensor showed good sensitivity than transition metal (Pt, Ti) used tellurium film.

Removal of Anodic Aluminum Oxide Barrier Layer on Silicon Substrate by Using Cl2 BCl3 Neutral Beam Etching

  • 김찬규;연제관;민경석;오종식;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.480-480
    • /
    • 2011
  • 양극산화(anodization)는 금속을 전기화학적으로 산화시켜 금속산화물로 만드는 기술로서 최근 다양한 크기의 나노 구조를 제조하는 기술로 각광받고 있으며, 이러한 기술에 의하여 얻어지는 anodic aluminum oxide(AAO)는 magnetic data storage, optoelectronic device, sensor에 적용될 수 있는 nano device 뿐만 아니라 nanostructure를 제조하기 위한 template 및 mask로써 최근 광범위 하게 연구되고 있다. 또한, AAO는 Al2O3의 단단한 구조를 가진 무기재료이므로 solid mask로써 다른 porous materials 보다 뛰어난 특성을 갖고 있다. 또한 electron-beam lithography 및 block co-polymer 에 의한 patterning 과 비교하여 매우 경제적이며, 재현성이 우수할 뿐만 아니라 대면적에서 나노 구조의 크기 및 형상제어가 비교적 쉽기 때문에 널리 사용되고 있다. 그러나, AAO 형성 시 생기게 되는 반구형 모양의 barrier layer는 물질(substance)과 기판과의 direct physical and electrical contact을 방해하기 때문에 해결해야 할 가장 큰 문제점 중 하나로 알려져 있다. 따라서 본 연구에서는 실리콘 기판위의 형성된 AAO의 barrier layer를 Cl/BCl3 gas mixture에서 Neutral Beam Etching (NBE)과 Ion Beam Etching (IBE) 로 각각 식각한 후 그 결과와 비교하였다. NBE와 IBE 모두 Cl2/BCl3 gas mixture에서 BCl3 gas의 첨가량이 60% 일 경우 etch rate이 가장 높게 나타났고, optical emission spectroscopy (OES)로 Cl2/BCl3 플라즈마 내의 Cl radical density와 X-ray photoelectron spectroscopy (XPS)로 AAO 표면 위를 관찰한 결과 휘발성 BOxCly의 형성이 AAO 식각에 크게 관여함을 확인 할 수 있었다. 또한, NBE와 IBE 실험한 다양한 Cl2/BCl3 gas mixture ratio 에서 AAO가 식각이 되지만, 이온빔의 경우 나노사이즈의 AAO pore의 charging에 의해 pore 아래쪽의 위치한 barrier layer를 어떤 식각조건에서도 제거하지 못하였다. 하지만, NBE에서는 BCl3-rich Cl2/BCl3 gas mixture인 식각조건에서 AAO pore에 휘발성 BOxCly를 형성하면서 barrier layer를 제거할 수 있었다.

  • PDF

고밀도 플라즈마에서 규소산화막을 마스크로 이용한 백금박막의 페터닝 (Patterning of Pt thin films using SiO$_2$mask in a high density plasma)

  • 이희섭;이종근;박세근;정양희
    • 전자공학회논문지D
    • /
    • 제34D권3호
    • /
    • pp.87-92
    • /
    • 1997
  • Inductively coupled Cl$_{2}$ plasma has been studied to etch Pt thin films, which hardly form volatile compound with any reactive gas at normal process temperature. Low etch rate and residue problems are frequently observed. For higher etch rate, high density plasma and higher process temperature is adopted observed. For higher etch rate, high density plasma and higher process temperature is adopted and thus SiO$_{2}$ is used as for patterning mask instead of photoresist. The effect of O$_{2}$ or Ar addition to Cl$_{2}$ was investigated, and the chamber pressure, gas flow rate, surce RF power and bias RF power are also varied to check their effects on etch rate and selectivity. The major etching mechanism is the physical sputtering, but the ion assisted chemical raction is also found to be a big factor. The proposs can be optimized to obtain the etch rate of Pt up to 200nm/min and selectivity to SiO$_{2}$ at 2.0 or more. Patterning of submicron Pt lines are successfully demonstrated.

  • PDF

악취분별능력을 가진 자동차용 고기능 듀얼타입 집적형 유해가스 유입차단센서 개발 (Development of High Sensitive Integrated Dual Sensor to Detect Harmful Exhaust Gas and Odor for the Automotive)

  • 정완영;심창현
    • 제어로봇시스템학회논문지
    • /
    • 제13권7호
    • /
    • pp.616-623
    • /
    • 2007
  • A dual micro gas sensor array was fabricated using nano sized $SnO_2$ thin films which had good sensitivities to CO and combustible gases, or $H_2S$ gas for air quality sensors in automobile. The already existed air quality sensor detects oxidizing gases and reducing gases, the air quality sensor(AQS), located near the fresh air inlet detected the harmful gases, the fresh air inlet door/ventilation flap was closed to reduce the amount of pollution entering the vehicle cabin through HVAC(heating, ventilating, and air conditioning) system. In this study, to make $SnO_2$ thin film AQS sensor, thin tin metal layer between 1000 and $2000{\AA}$ thick was oxidized between 600 and $800^{\circ}C$ by thermal oxidation. The gas sensing layers such as $SnO_2$, $SnO_2$(pt) and $SnO_2$(+CuO) were patterned by metal shadow mask for simple fabrication process on the silicon substrate. The micro gas sensors with $SnO_2$(+Pt) and $SnO_2$(CuO) showed good selectivity to CO gas among reducing gases and good sensitivity to $H_2S$ that is main component of bad odor, separately.

유도 결합 플라즈마를 이용한 백금 박막의 식각시 $O_2$ 가스 첨가 효과 (Effects of $O_2$ Gas Addition to Etching of Platinum Thin Film by Inductively Coupled Plasmas)

  • 김남훈;김창일;권광호;장의구
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1998년도 추계학술대회 논문집 학회본부 C
    • /
    • pp.770-772
    • /
    • 1998
  • The highest etch rate of Pt film was obtained at 10% $Cl_2$/90% Ar gas mixing ratio in our previous investigation. However, the problems such as the etch residues(fence) remained on the pattern sidewall, low selectivity to oxide as mask and low etch slope were presented. In this paper, the etching by additive $O_2$ gas to 10% $Cl_2$/90% Ar gas base was examined. As a result, the fence-free pattern and high etch slope was observed and the selectivity to oxide increased without decreasing of the etch rate. And the reasons for this phenomenon was investigated by XPS(x-ray photoelectron spectroscopy) surface analysis and plasma characteristic.

  • PDF

레이저 스텐실 가공 시스템 및 공정 기술 개발 (Development of Laser Process and System for Stencil Manufacturing)

  • 이제훈;서정;김정오;신동식;이영문
    • 한국정밀공학회지
    • /
    • 제19권2호
    • /
    • pp.106-113
    • /
    • 2002
  • Stencil is used normally as a mask for seeder pasting on pad of PCB. The objective of this study is to develop stencil cutting system and determine optimal conditions which make good-quality stencil by using a Nd:YAG laser. The effects of process parameters such as laser power, type of mask, gas pressure, cutting speed and pulse width old the cut edge quality were investigated. In order to analyse fille cut surface characteristics(roughness, kerf width, dross) optical microscopy, SEM photography and roughness test were used. As a result, the optimal conditions of process parameters were determined, and the practical feasibility of the proposed system is also examined by using a commercial Gerber file for PCB stencil manufacturing.

Frequency effect of TEOS oxide layer in dual-frequency capacitively coupled CH2F2/C4F8/O2/Ar plasma

  • Lee, J.H.;Kwon, B.S.;Lee, N.E.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.284-284
    • /
    • 2011
  • Recently, the increasing degree of device integration in the fabrication of Si semiconductor devices, etching processes of nano-scale materials and high aspect-ratio (HAR) structures become more important. Due to this reason, etch selectivity control during etching of HAR contact holes and trenches is very important. In this study, The etch selectivity and etch rate of TEOS oxide layer using ACL (amorphous carbon layer) mask are investigated various process parameters in CH2F2/C4F8/O2/Ar plasma during etching TEOS oxide layer using ArF/BARC/SiOx/ACL multilevel resist (MLR) structures. The deformation and etch characteristics of TEOS oxide layer using ACL hard mask was investigated in a dual-frequency superimposed capacitively coupled plasma (DFS-CCP) etcher by different fHF/ fLF combinations by varying the CH2F2/ C4F8 gas flow ratio plasmas. The etch characteristics were measured by on scanning electron microscopy (SEM) And X-ray photoelectron spectroscopy (XPS) analyses and Fourier transform infrared spectroscopy (FT-IR). A process window for very high selective etching of TEOS oxide using ACL mask could be determined by controlling the process parameters and in turn degree of polymerization. Mechanisms for high etch selectivity will discussed in detail.

  • PDF