• Title/Summary/Keyword: design-for-testability

Search Result 60, Processing Time 0.025 seconds

A Design of Intelligent Patient Monitoring System using Model Base (모델 베이스를 이용한 지능적 환자 감시 시스템의 설계)

  • Kim, Jung-Ook;Lee, Seok-Pil;Chi, Sung-Do;Park, Sang-Hui
    • Proceedings of the KOSOMBE Conference
    • /
    • v.1995 no.05
    • /
    • pp.155-159
    • /
    • 1995
  • A design method that can easily construct intelligent patient monitoring systems is proposed. To achieve the design method, the SES/MB concept and a discrete event-based logic control formalism based on a set theory is introduced. In this control paradigm the controller expects to receive confirming sensor responses to its control commands within definite time windows determined by DEVS model of the system under control. Because data to be used for rule-based symbolic reasoning are to be abstracted, several AI methods are applied the processes. These methods are applied to intelligent patient monitoring systems so that they facilitate transformation from low level raw data to high level linguistic data. Model-based system representations have advantages of reusability, extensibility, flexsibility, independent testability and encapsulation.

  • PDF

A Study on Repair of Scan Design Rule Violations at Clock and Reset Pins of Scan Cells (스캔셀의 Clock과 Reset핀에서의 스캔 설계 Rule Violations 방지를 위한 설계 변경)

  • Kim, In-Soo;Min, Hyoung-Bok
    • The Transactions of the Korean Institute of Electrical Engineers D
    • /
    • v.52 no.2
    • /
    • pp.93-101
    • /
    • 2003
  • Scan design is a structured design-for-testability technique in which flip-flops are re-designed so that the flip-flops are chained in shift registers. The scan design cannot be used in a design with scan design rule violations without modifying the design. The most important scan design rule is concerning clock and reset signals to pins of the flip-flops or scan cells. Clock and Reset pins of every scan cell must be controllable from top-level ports. We propose a new technique to re-design gated clocks and resets which violate the scan design rule concerning the clock and reset pins. This technique substitutes synchronous sequential circuits for gated clock and reset designs, which removes the clock and reset rule violations and improves fault coverage of the design. The fault coverage is improved from $90.48\%$ to $100.00\%$, from $92.31\%$ to $100.00\%$, from $95.45\%$ to $100.00\%$, from $97.50\%$ to $100.00\%$ in a design with gated clocks and resets.

An On-Chip Test Clock Control Scheme for Circuit Aging Monitoring

  • Yi, Hyunbean
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.13 no.1
    • /
    • pp.71-78
    • /
    • 2013
  • In highly reliable and durable systems, failures due to aging might result in catastrophes. Aging monitoring techniques to prevent catastrophes by predicting such a failure are required. Aging can be monitored by performing a delay test at faster clocks than functional clock in field and checking the current delay state from the test clock frequencies at which the delay test is passed or failed. In this paper, we focus on test clock control scheme for a system-on-chip (SoC) with multiple clock domains. We describe limitations of existing at-speed test clock control methods and present an on-chip faster-than-at-speed test clock control scheme for intra/inter-clock domain test. Experimental results show our simulation results and area analysis. With a simple control scheme, with low area overhead, and without any modification of scan architecture, the proposed method enables faster-than-at-speed test of SoCs with multiple clock domains.

Test Generation of Sequential Circuits Using A Partial Scan Based on Conversion to Pseudo-Combinational Circuits (유사 조합 회로로의 변환에 기초한 부분 스캔 기법을 이용한 디지털 순차 회로의 테스트 기법 연구)

  • Min, Hyoung-Bok
    • The Transactions of the Korean Institute of Electrical Engineers
    • /
    • v.43 no.3
    • /
    • pp.504-514
    • /
    • 1994
  • Combinational automatic test pattern generators (CATPG) have already been commercialized because their algorithms are well known and practical, while sequential automatic test pattern generators(SATPG) have been regarded as impractical because they are computationally complex. A technique to use CATPG instead of SATPG for test generation of sequential circuits is proposed. Redesign of seauential circuits such as Level Sensitive Scan Design (LSSD) is inevitable to use CATPG. Various partial scan techniques has been proposed to avoid full scan such as LSSD. It ha sbeen reported that SATPG is required to use partial scan techniques. We propose a technique to use CATPG for a new partial scan technique, and propose a new CATPG algorithm for the partially scanned circuits. The partial scan technique can be another choice of design for testability because it is computationally advantageous.

  • PDF

Syndrome Testable Design for Large MOSPLA's (신드롬 테스트가 용이한 대규모 MOSPLA의 설계)

  • Seok Bung Han
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.24 no.3
    • /
    • pp.527-534
    • /
    • 1987
  • This paper proposes a new syndrome-testable design method for large MOSPLA's. In the conventional syndrome test method, the testing array circuit for testability is added but it has the defect that the circuit gives effect on the normal operation of the basic PLA circuit. Therefore, by adding the shift registers to the product lines of the basic MOSPLA's this defect is eliminated and the number of test patterns is decreased. In order to reduce the number of fault free syndromes to be predetermined, also, one output line, which is connected to all product lines is added. Therefore the number of output lines be observed is decreased. And the analytical method to compute fault free syndromes is presented. By unsing this method, the time and the effort to compute the syndromes are decreased.

  • PDF

Design of the Reusable Embedded Debugger for 32bit RISC Processor Using JTAG (32비트 RISC 프로세서를 위한 TAG 기반의 재사용 가능한 임베디드 디버거 설계)

  • 정대영;최광계;곽승호;이문기
    • Proceedings of the IEEK Conference
    • /
    • 2002.06b
    • /
    • pp.329-332
    • /
    • 2002
  • The traditional debug tools for chip tests and software developments need a huge investment and a plenty of time. These problems can be overcome by Embedded Debugger based the JTAG boundary Scan Architecture. Thus, the IEEE 1149.1 standard is adopted by ASIC designers for the testability problems. We designed the RED(Reusable Embedded Debugger) using the JTAG boundary Scan Architecture. The proposed debugger is applicable for not a chip test but also a software debugging. Our debugger has an additional hardware module (EICEM : Embedded ICE Module) for more critical real-time debugging.

  • PDF

A Review of Structural Testing Methods for ASIC based AI Accelerators

  • Umair, Saeed;Irfan Ali, Tunio;Majid, Hussain;Fayaz Ahmed, Memon;Ayaz Ahmed, Hoshu;Ghulam, Hussain
    • International Journal of Computer Science & Network Security
    • /
    • v.23 no.1
    • /
    • pp.103-111
    • /
    • 2023
  • Implementing conventional DFT solution for arrays of DNN accelerators having large number of processing elements (PEs), without considering architectural characteristics of PEs may incur overwhelming test overheads. Recent DFT based techniques have utilized the homogeneity and dataflow of arrays at PE-level and Core-level for obtaining reduction in; test pattern volume, test time, test power and ATPG runtime. This paper reviews these contemporary test solutions for ASIC based DNN accelerators. Mainly, the proposed test architectures, pattern application method with their objectives are reviewed. It is observed that exploitation of architectural characteristic such as homogeneity and dataflow of PEs/ arrays results in reduced test overheads.

Design on the efficient BILBO for BIST allocation of ASIC (ASIC의 BIST 할당을 위한 효과적인 BILBO 설계)

  • 이강현
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.34C no.9
    • /
    • pp.53-60
    • /
    • 1997
  • In this paper, an efficient BILBO(named EBILBO) is proposed for batch testing application when a BIST (built-in self test) circuit is implemented on ASIC. In a large and complex circuit, the proposed algorithm of batch testing has one pin-count that can easily control 4 test modes in the normal speed of circuit operation. For the implementation of the BIST cifcuit, the test patern needed is generated by PRTPG(pseudo-random test pattern generator) and the ouput is observed by proposed algorithm is easily modified, such as the modelling of test pattern genration, signature EBILBO area and performance of the implemented BIST are evaluated using ISCAS89 benchmark circuits. As a resutl, in a circuit above 600 gates, it is confirmed that test patterns are genrated flexibly about 500K as EBILBO area is 59%, and the range of fault coverage is from 88.3% to 100%. And the optimized operation frequency of EBILBO designed and the area are 50MHz and 150K respectively. On the BIST circit of the proposed batch testing, the test mode of EBILBO is able to execute as realtime that has te number of s$\^$+/n$\^$+/(2s/2p-1) clocks simultaneously with the normal mode of circuit operation. Also the proposed algorithm is made of the library with VHDL coding thus, it will be widely applied to DFT (design for testability) that satisfies the design and test field.

  • PDF

No-Holding Partial Scan Test Mmethod for Large VLSI Designs (대규모 집적회로 설계를 위한 무고정 부분 스캔 테스트 방법)

  • 노현철;이동호
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.35C no.3
    • /
    • pp.1-15
    • /
    • 1998
  • In this paper, we propose a partial scan test method which can be applied to large VLSI designs. In this method, it is not necessary to hold neither scanned nor unscanned flip-flops during scan in, test application,or scan out. This test method requires almost identical design for testability modification and test wave form when compared to the full scan test method, and the method is applicable to large VLSI chips. The well known FAN algorithm has been modified to devise to sequential ATPG algorithm which is effective for the proposed test method. In addition, a partial scan algorithm which is effective for the proposed test method. In addition, a partial algorithm determined a maximal set of flip-flops which gives high fault coverage when they are unselected. The experimental resutls show that the proposed method allow as large as 20% flip-flops to remain unscanned without much decrease in the full scan fault coverage.

  • PDF

A Programmable Compensation Circuit for System-on-Chip Application

  • Choi, Woo-Chang;Ryu, Jee-Youl
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.11 no.3
    • /
    • pp.198-206
    • /
    • 2011
  • This paper presents a new programmable compensation circuit (PCC) for a System-on-Chip (SoC). The PCC is integrated with $0.18-{\mu}m$ BiCMOS SiGe technology. It consists of RF Design-for-Testability (DFT) circuit, Resistor Array Bank (RAB) and digital signal processor (DSP). To verify performance of the PCC we built a 5-GHz low noise amplifier (LNA) with an on-chip RAB using the same technology. Proposed circuit helps it to provide DC output voltages, hence, making the RF system chain automatic. It automatically adjusts performance of an LNA with the processor in the SoC when it goes out of the normal range of operation. The PCC also compensates abnormal operation due to the unusual PVT (Process, Voltage and Thermal) variations in RF circuits.