• Title/Summary/Keyword: Verilog-A

Search Result 449, Processing Time 0.033 seconds

Low-Power Frequency Offset Synchronization Block Design and Implementation using Pipeline CORDIC (Pipeline CORDIC을 이용한 저전력 주파수 옵셋 동기화기 설계 및 구현)

  • Ha, Jun-Hyung;Jung, Yo-Sung;Cho, Yong-Hoon;Jang, Young-Beom
    • Journal of the Institute of Electronics Engineers of Korea TC
    • /
    • v.47 no.10
    • /
    • pp.49-56
    • /
    • 2010
  • In this paper, a low-power frequency offset synchronization structure using CORDIC algorithm is proposed. Main blocks of frequency offset synchronization are estimation and compensation block. In the proposed frequency offset estimation block, implementation area is reduced by using sequential CORDIC, and throughput is accelerated by using 2 step CORDIC. In the proposed frequency offset compensation block, pipeline CORDIC is utilized for area reduction and high speed processing. Through MatLab simulation, function for proposed structure is verified. Proposed frequency offset synchronization structure is implemented by Verilog-HDL coding and implementation area is estimated by Synopsys logic synthesis tool.

Design of MUSIC Algorithm for DOA estimation (도래방향 추정을 위한 MUSIC 알고리즘의 설계)

  • Park, Byung-Woo;Jeong, Bong-Sik
    • Journal of the Institute of Convergence Signal Processing
    • /
    • v.7 no.4
    • /
    • pp.189-194
    • /
    • 2006
  • In this paper, design of MUSIC algorithm, which is one of high resolution DOA (direction of arrival) estimation techniques was studied. Generally the complex-valued correlation matrix of MUSIC algorithm is transformed to unitary matrix or matrix expansion for the real hardware implementation. Using the orthogonality between the noise subspace eigenvectors and the steering vectors corresponding to signal component, we estimate DOA with the real-valued computation between steering vectors and noise subspace eigenvectors. The DOA algorithm was designed with VHDL models with considerations of 2 elements and 1 incident wave and its simulation results are derived.

  • PDF

Hardware Design of Intra Prediction Angular Mode Decision for HEVC Encoder (HEVC 부호기를 위한 Intra Prediction Angular 모드 결정 하드웨어 설계)

  • Choi, Jooyong;Ryoo, Kwangki
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2016.10a
    • /
    • pp.145-148
    • /
    • 2016
  • In this paper, we propose a design of Intra Prediction angular mode decision for high-performance HEVC encoder. Intra Prediction works by performing all 35 modes for efficient encoding. However, in order to process all of the 35 modes, the computational complexity and operational time required are high. Therefore, this paper proposes comparing the difference in the value of the original image pixel, using an algorithm that determines Angular mode efficiently. This new algorithm reduces the Hardware size. The hardware which is proposed was designed using Verilog HDL and was implemented in 65nm technology. Its gate count is 14.9k and operating speed is 2GHz.

  • PDF

System-level Hardware Function Verification System (시스템수준의 하드웨어 기능 검증 시스템)

  • You, Myoung-Keun;Oh, Young-Jin;Song, Gi-Yong
    • Journal of the Institute of Convergence Signal Processing
    • /
    • v.11 no.2
    • /
    • pp.177-182
    • /
    • 2010
  • The flow of a universal system-level design methodology consists of system specification, system-level hardware/software partitioning, co-design, co-verification using virtual or physical prototype, and system integration. In the developing process of a hardware component in system, the design phase has been regarded as a phase consuming lots of time and cost. However, the verification phase in which functionality of the designed component is verified has recently been considered as a much important phase. In this paper, the implementation of a verification environment which is based on SystemC infrastructure and verifies the functionality of a hardware component is described. The proposed verification system uses SystemC user-defined channel as communication interface between variables of SystemC module and registers of Verilog module. The functional verification of an UART is performed on the proposed verification system. SystemC provides class library for hardware modeling and has an advantage of being able to design a system consisting hardware and software in higher abstraction level than register transfer level. Source codes of SystemC modules are reusable with a minor adaptation on verifying functionality of another hardware component.

A study on the generation of test benches from a C-like test scenario description (C 언어 중심의 테스트 시나리오 기술을 허용하는 테스트벤치 자동화 도구의 개발에 관한 연구)

  • 정성헌;장경선;조한진
    • Proceedings of the IEEK Conference
    • /
    • 2002.06b
    • /
    • pp.93-96
    • /
    • 2002
  • It is said that the verification effort occupies about 50-70 percent of the total effort of a System-On-A-Chip. This paper aims to develop a test bench automation tool based on the abstraction of the interface protocols. This tool will allow designers to describe their test benches in a high level language such as C rather than VHDL or Verilog. It helps designers to save their verification time and effort.

  • PDF

FFT/IFFT IP Generator for OFDM Modems (OFDM 모뎀용 FFT/IFFT IP 자동 생성기)

  • Lee Jin-Woo;Shin Kyung-Wook;Kim Jong-Whan;Baek Young-Seok;Eo Ik-Soo
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.31 no.3A
    • /
    • pp.368-376
    • /
    • 2006
  • This paper describes a Fcore_GenSim(Parameterized FFT Core Generation & Simulation Program), which can be used as an essential If(Intellectual Property) in various OFDM modem designs. The Fcore_Gensim is composed of two parts, a parameterized core generator(PFFT_CoreGen) that generates Verilog-HDL models of FFT cores, and a fixed-point FFT simulator(FXP_FFTSim) which can be used to estimate the SQNR performance of the generated cores. The parameters that can be specified for core generation are FFT length in the range of 64 ~2048-point and word-lengths of input/output/internal/twiddle data in the range of 8-b "24-b with 2-b step. Total 43,659 FFT cores can be generated by Fcore_Gensim. In addition, CBFP(Convergent Block Floating Point) scaling can be optionally specified. To achieve an optimized hardware and SQNR performance of the generated core, a hybrid structure of R2SDF and R2SDC stages and a hybrid algorithm of radix-2, radix-2/4, radix-2/4/8 are adopted according to FFT length and CBFP scaling.

An Advanced ASIC Design of a RS Decoder for the 8-VSB ATV Standard (표준 8-VSB Advanced Television Standard의 개선된 RS Decoder ASIC 설계)

  • 최진호;전문석
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.26 no.6B
    • /
    • pp.727-735
    • /
    • 2001
  • 본 논문은 8-VSB Advanced Digital TV용으로 사용할 수 있도록 ATSC(Advanced Television Standard Committee)의 규약을 만족시키도록 구현한 Reed Solomon 디코더에 대하여 기술한다. 구현된 RS Decoder는 공유된 Tree 구조의 Arithmetic 블록을 사용하여 종래의 기술보다 더 효율적인 연산기 구조를 제안하였으며 빠른 에러 탐지와 정정 시간으로 인한 FIFO의 사용갯수와 Latency Time을 크게 감소시킨 개선된 구조를 제안한다. 일반적으로 2N+A만큼의 Latency Time과 FIFO 개수를 N+A 만큼으로 감소시켰다. 이 RS 디코더는 Verilog HDL로 설계되었고 Synopsys Design Compiler에 의해 합성되었다.

  • PDF

Design and Implementation of ARM based Network SoC Processer (ARM 기반의 네트워크용 SoC(System-on-a-chip) 프로세서의 설계 및 구현)

  • 박경철;나종화
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2003.04d
    • /
    • pp.286-288
    • /
    • 2003
  • 본 논문에서는 서로 다른 네트워크간의 다양한 프로토콜과 이종의 트래픽을 동시에 처리할 수 있는 네트워크용 SoC (System-on-a-Chip) 프로세서를 구현하였다. 제작된 네트워크 SoC 프로세서는 ARM 프로세서 코어와 ATM(Asynchronous Transfer Mode) 블록, 10/100 Mbps 이더넷 볼록, 스케쥴러, UART 등을 이용하였고 각 블록은 AM8A (Advanced Microcontroller Bus Architecture) 버스로 연결하였다. SoC 프로세서는 CADENCE사의 VerilogHDL을 이용하여 설계하였고 0.35$\mu\textrm{m}$ 셀 라이브러리를 이용하여 검증하였다. 구현된 칩은 총 게이트수가 312,000개이며 칠의 최대 동작 주파수는 50MHz 이다.

  • PDF

Amultiplierless Letter-box converter using 4:3 decimation algorithm (4:3 데시메이션 알고리즘을 이용한 멀티플라이어리스 레터박스 변환기)

  • 한선형;오승호이문기
    • Proceedings of the IEEK Conference
    • /
    • 1998.10a
    • /
    • pp.1045-1048
    • /
    • 1998
  • This paper proposes a efficient algorithm of letter-box converter using 4:3 decimation algorithm. To display 16:9 wide images on a 4:3 screen, there is need to convert the 16:9 wide images. The letter-box converter is designed with multiplierless architecture. We have modeled the letter-box converter in verilog-HDL and verified to show little difference between the original image and the converte image.

  • PDF

Design of the Integer Processor Unit for RAPTOR (Raptor의 정수처리기 설계)

  • 송윤섭;김도형
    • Proceedings of the IEEK Conference
    • /
    • 1998.10a
    • /
    • pp.763-766
    • /
    • 1998
  • This paper describes the microarchitecture of the integer processor unit of RAPTOR which is an on-chip multiprocessor. The integer processor unit implements the 64-bit SPARC-V9 architecture and supports by hardware out-of-order instruction execution. The unit is designed to be handy so that multiple copies of the unit cn be integrated with cache memories into a single chip. The design was proceeded in a top-down manner. The hardware description and its verfication were performed using Verilog-HDL.

  • PDF