• Title/Summary/Keyword: Ta-Si-N barrier metal

Search Result 8, Processing Time 0.029 seconds

X-ray Scattering Study of Reactive Sputtered Ta-N/Ta/Si(001)Film as a Barrier Metal for Cu Interconnection (구리배선용 베리어메탈로 쓰이는 Ta-N/Ta/Si(001)박막에 관한 X-선 산란연구)

  • Kim, Sang-Soo;Kang, Hyon-Chol;Noh, Do-Young
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.05b
    • /
    • pp.79-83
    • /
    • 2001
  • In order to compare the barrier properties of Ta-N/Si(001) with those of Ta-N/Ta/Si(001), we studied structural properties of films grown by RF magnetron sputtering with various $Ar/N_2$ ratios. To evaluate the barrier properties, the samples were annealed in a vacuum chamber. Ex-situ x-ray scattering measurements were done using an in-house x-ray system. With increasing nitrogen ratio in Ta-N/Si(001), the barrier property of Ta-N/Si(001) was enhanced, finally failed at $750^{\circ}C$ due to the crystallization and silicide formation. Compared with Ta-N/Si(001), Ta-N/Ta/Si(001) forms silicides at $650^{\circ}C$. However it does not crystallize even at $750^{\circ}C$. With increasing nitrogen composition in Ta-N/Ta/Si(001), the formation of tantalum silicide was reduced and the surface roughness was improved. To observe the surface morphology of Ta-N/Ta/Si(001) during annealing, we performed an in-situ x-ray scattering experiment using synchrotron radiation of the 5C2 at Pohang Light Source(PLS). Addition of Ta layer between Ta-N and Si(001) improved the surface morphology and reduced the surface degradation at high temperatures. In addition, increasing $N_2/Ar$ flow ratio reduced the formation of tantalum silicide and enhanced the barrier properties.

  • PDF

Effects of Hydrogen Plasma Treatment of the Underlying TaSiN Film Surface on the Copper Nucleation in Copper MOCVD

  • Park, Hyun-Ah;Lim, Jong-Min;Lee, Chong-Mu
    • Journal of the Korean Ceramic Society
    • /
    • v.41 no.6
    • /
    • pp.435-438
    • /
    • 2004
  • MOCVD is one of the major deposition techniques for Cu thin films and Ta-Si-N is one of promising barrier metal candidates for Cu with high thermal stability. Effects of hydrogen plasma pretreatment of the underlying Ta-Si-N film surface on the Cu nucleation in Cu MOCVD were investigated using scanning electron microscopy, X-ray photoelectron spectroscopy and Auger electron emission spectrometry analyses. Cu nucleation in MOCVD is enhanced as the rf-power and the plasma exposure time are increased in the hydrogen plasma pretreatment. The optimal plasma treatment process condition is the rf-power of 40 Wand the plasma exposure time of 2 min. The hydrogen gas flow rate in the hydrogen plasma pretreatment process does not affect Cu nucleation much. The mechanism through which Cu nucleation is enhanced by the hydrogen plasma pretreatment of the Ta-Si-N film surface is that the nitrogen and oxygen atoms at the Ta-Si-N film surface are effectively removed by the plasma treatment. Consequently the chemical composition was changed from Ta-Si-N(O) into Ta-Si at the Ta-Si-N film surface, which is favorable for Cu nucleation.

The Effects of the Annealing on the Reflow Property of Cu Thin Film (열처리에 따른 구리박막의 리플로우 특성)

  • Kim Dong-Won;Kim Sang-Ho
    • Journal of the Korean institute of surface engineering
    • /
    • v.38 no.1
    • /
    • pp.28-36
    • /
    • 2005
  • In this study, the reflow characteristics of copper thin films which is expected to be used as interconnection materials in the next generation semiconductor devices were investigated. Cu thin films were deposited on the TaN diffusion barrier by metal organic chemical vapor deposition (MOCVD) and annealed at the temperature between 250℃ and 550℃ in various ambient gases. When the Cu thin films were annealed in the hydrogen ambience compared with oxygen ambience, sheet resistance of Cu thin films decreased and the breakdown of TaN diffusion barrier was not occurred and a stable Cu/TaN/Si structure was formed at the annealing temperature of 450℃. In addition, reflow properties of Cu thin films could be enhanced in H₂ ambient. With Cu reflow process, we could fill the trench patterns of 0.16~0.24 11m with aspect ratio of 4.17~6.25 at the annealing temperature of 450℃ in hydrogen ambience. It is expected that Cu reflow process will be applied to fill the deep pattern with ultra fine structure in metallization.

A bilayer diffusion barrier of atomic layer deposited (ALD)-Ru/ALD-TaCN for direct plating of Cu

  • Kim, Soo-Hyun;Yim, Sung-Soo;Lee, Do-Joong;Kim, Ki-Su;Kim, Hyun-Mi;Kim, Ki-Bum;Sohn, Hyun-Chul
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.239-240
    • /
    • 2008
  • As semiconductor devices are scaled down for better performance and more functionality, the Cu-based interconnects suffer from the increase of the resistivity of the Cu wires. The resistivity increase, which is attributed to the electron scattering from grain boundaries and interfaces, needs to be addressed in order to further scale down semiconductor devices [1]. The increase in the resistivity of the interconnect can be alleviated by increasing the grain size of electroplating (EP)-Cu or by modifying the Cu surface [1]. Another possible solution is to maximize the portion of the EP-Cu volume in the vias or damascene structures with the conformal diffusion barrier and seed layer by optimizing their deposition processes during Cu interconnect fabrication, which are currently ionized physical vapor deposition (IPVD)-based Ta/TaN bilayer and IPVD-Cu, respectively. The use of in-situ etching, during IPVD of the barrier or the seed layer, has been effective in enlarging the trench volume where the Cu is filled, resulting in improved reliability and performance of the Cu-based interconnect. However, the application of IPVD technology is expected to be limited eventually because of poor sidewall step coverage and the narrow top part of the damascene structures. Recently, Ru has been suggested as a diffusion barrier that is compatible with the direct plating of Cu [2-3]. A single-layer diffusion barrier for the direct plating of Cu is desirable to optimize the resistance of the Cu interconnects because it eliminates the Cu-seed layer. However, previous studies have shown that the Ru by itself is not a suitable diffusion barrier for Cu metallization [4-6]. Thus, the diffusion barrier performance of the Ru film should be improved in order for it to be successfully incorporated as a seed layer/barrier layer for the direct plating of Cu. The improvement of its barrier performance, by modifying the Ru microstructure from columnar to amorphous (by incorporating the N into Ru during PVD), has been previously reported [7]. Another approach for improving the barrier performance of the Ru film is to use Ru as a just seed layer and combine it with superior materials to function as a diffusion barrier against the Cu. A RulTaN bilayer prepared by PVD has recently been suggested as a seed layer/diffusion barrier for Cu. This bilayer was stable between the Cu and Si after annealing at $700^{\circ}C$ for I min [8]. Although these reports dealt with the possible applications of Ru for Cu metallization, cases where the Ru film was prepared by atomic layer deposition (ALD) have not been identified. These are important because of ALD's excellent conformality. In this study, a bilayer diffusion barrier of Ru/TaCN prepared by ALD was investigated. As the addition of the third element into the transition metal nitride disrupts the crystal lattice and leads to the formation of a stable ternary amorphous material, as indicated by Nicolet [9], ALD-TaCN is expected to improve the diffusion barrier performance of the ALD-Ru against Cu. Ru was deposited by a sequential supply of bis(ethylcyclopentadienyl)ruthenium [Ru$(EtCp)_2$] and $NH_3$plasma and TaCN by a sequential supply of $(NEt_2)_3Ta=Nbu^t$ (tert-butylimido-trisdiethylamido-tantalum, TBTDET) and $H_2$ plasma. Sheet resistance measurements, X-ray diffractometry (XRD), and Auger electron spectroscopy (AES) analysis showed that the bilayer diffusion barriers of ALD-Ru (12 nm)/ALD-TaCN (2 nm) and ALD-Ru (4nm)/ALD-TaCN (2 nm) prevented the Cu diffusion up to annealing temperatures of 600 and $550^{\circ}C$ for 30 min, respectively. This is found to be due to the excellent diffusion barrier performance of the ALD-TaCN film against the Cu, due to it having an amorphous structure. A 5-nm-thick ALD-TaCN film was even stable up to annealing at $650^{\circ}C$ between Cu and Si. Transmission electron microscopy (TEM) investigation combined with energy dispersive spectroscopy (EDS) analysis revealed that the ALD-Ru/ALD-TaCN diffusion barrier failed by the Cu diffusion through the bilayer into the Si substrate. This is due to the ALD-TaCN interlayer preventing the interfacial reaction between the Ru and Si.

  • PDF

5-MeV Proton-irradiation characteristics of AlGaN/GaN - on-Si HEMTs with various Schottky metal gates

  • Cho, Heehyeong;Kim, Hyungtak
    • Journal of IKEEE
    • /
    • v.22 no.2
    • /
    • pp.484-487
    • /
    • 2018
  • 5 MeV proton-irradiation with total dose of $10^{15}/cm^2$ was performed on AlGaN/GaN-on-Si high electron mobility transistors (HEMTs) with various gate metals including Ni, TaN, W, and TiN to investigate the degradation characteristics. The positive shift of pinch-off voltage and the reduction of on-current were observed from irradiated HEMTs regardless of a type of gate materials. Hall and transmission line measurements revealed the reduction of carrier mobility and sheet charge concentration due to displacement damage by proton irradiation. The shift of pinch-off voltage was dependent on Schottky barrier heights of gate metals. Gate leakage and capacitance-voltage characteristics did not show any significant degradation demonstrating the superior radiation hardness of Schottky gate contacts on GaN.

Effects of hydrogen and ammonia partial pressure on MOCVD $Co/TaN_x$ layer for Cu direct electroplating

  • Park, Jae-Hyeong;Mun, Dae-Yong;Han, Dong-Seok;Yun, Don-Gyu;Park, Jong-Wan
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2012.05a
    • /
    • pp.84-84
    • /
    • 2012
  • 소자가 고집적화 됨에 따라, 비저항이 낮고 electro migration (EM), Stress Migration (SM) 특성이 우수한 구리(Cu)를 배선재료로서 사용하고 있다. 그러나, 구리는 Si과 $SiO_2$의 내부로 확산이 빠르게 일어나, Si 소자 내부에 deep donor level을 형성하고, 누설 전류를 증가시키는 등 소자의 성능을 저하시킬 수 있는 문제점을 가지고 있다. 그러나, electroplating 을 이용하여 증착한 Cu 박막은 일반적으로 확산 방지막으로 쓰이는 TiN, TaN, 등의 물질과의 접착 (adhesion) 특성이 나쁘다. 따라서, Cu CMP 에서 증착된 Cu 박막의 벗겨지거나(peeling), EM or SM 저항성 저하 등의 배선에서의 reliability 문제를 야기하게된다. 따라서 Cu 와 접착 특성이 좋은 새로운 확산방지막 또는 adhesion layer의 필요성이 대두되고 있다. 본 연구에서는 이러한 Cu 배선에서의 접착성 문제를 해결하고자 Metal organic chemical vapor deposition (MOCVD)을 이용하여 제조한 코발트(Co) 박막을 $Cu/TaN_x$ 사이의 접착력 개선을 위한 adhesion layer로 적용하려는 시도를 하였다. Co는 비저항이 낮고, Cu 와 adhesion이 좋으며, Cu direct electroplating 이 가능하다는 장점을 가지고 있다. 하지만, 수소 분위기에서 $C_{12}H_{10}O_6(Co)_2$ (dicobalt hexacarbonyl tert-butylacetylene, CCTBA) 전구체에 의한 MOCVD Co 박막의 경우 탄소, 산소와 같은 불순물이 다량 함유되어 있어, 비저항, surface roughness 가 높아지게 된다. 따라서 구리 전착 초기에 구리의 핵 생성(nucleation)을 저해하고 핵 생성 후에도 응집(agglomeration)이 발생하여 연속적이고 얇은 구리막 형성을 방해한다. 이를 해결하기 위해, MOCVD Co 박막 증착 시 수소 반응 가스에 암모니아를 추가로 주입하여, 수소/암모니아의 분압을 1:1, 1:6, 1:10으로 변화시켜 $Co/TaN_x$ 박막의 특성을 비교 분석하였다. 각각의 수소/암모니아 분압에 따른 $Co/TaN_x$ 박막을 TEM (Transmission electron microscopy), XRD (X-ray diffraction), AES (Auger electron spectroscopy)를 통해 물성 및 조성을 분석하였고, AFM (Atomic force microscopy)를 이용하여, surface roughness를 측정하였다. 실험 결과, $Co/TaN_x$ 박막은 수소/암모니아 분압 1:6에서 90 ${\mu}{\Omega}-cm$의 낮은 비저항과 0.97 nm 의 낮은 surface roughness 를 가졌다. 뿐만 아니라, MOCVD 에 의해 증착된 Co 박막이4-6 % concentration 의 탄소 및 산소 함량을 가지는 것으로 나타났고, 24nm 크기의 trench 기판 위에 약 6nm의 $Co/TaN_x$ 박막이 매우 균일하게 형성된 것을 확인 할 수 있었다. 이러한 결과들은, 향후 $Co/TaN_x$ 박막이 Cu direct electroplating 공정이 가능한 diffusion barrier로서 성공적으로 사용될 수 있음을 보여준다.

  • PDF

Electrical and Chemical Properties of ultra thin RT-MOCVD Deposited Ti-doped $Ta_2O_5$

  • Lee, S. J.;H. F. Luan;A. Mao;T. S. Jeon;Lee, C. h.;Y. Senzaki;D. Roberts;D. L. Kwong
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.1 no.4
    • /
    • pp.202-208
    • /
    • 2001
  • In Recent results suggested that doping $Ta_2O_5$ with a small amount of $TiO_2$ using standard ceramic processing techniques can increase the dielectric constant of $Ta_2O_5$ significantly. In this paper, this concept is studied using RTCVD (Rapid Thermal Chemical Vapor Deposition). Ti-doped $Ta_2O_5$ films are deposited using $TaC_{12}H_{30}O_5N$, $C_8H_{24}N_4Ti$, and $O_2$ on both Si and $NH_3$-nitrided Si substrates. An $NH_3$-based interface layer at the Si surface is used to prevent interfacial oxidation during the CVD process and post deposition annealing is performed in $H_2/O_2$ ambient to improve film quality and reduce leakage current. A sputtered TiN layer is used as a diffusion barrier between the Al gate electrode and the $TaTi_xO_y$ dielectric. XPS analyses confirm the formation of a ($Ta_2O_5)_{1-x}(TiO_2)_x$ composite oxide. A high quality $TaTi_xO_y$ gate stack with EOT (Equivalent Oxide Thickness) of $7{\AA}$ and leakage current $Jg=O.5A/textrm{cm}^2$ @ Vg=-1.0V has been achieved. We have also succeeded in forming a $TaTi_x/O_y$ composite oxide by rapid thermal oxidation of the as-deposited CVD TaTi films. The electrical properties and Jg-EOT characteristics of these composite oxides are remarkably similar to that of RTCVD $Ta_2O_5, suggesting that the dielectric constant of $Ta_2O_5$ is not affected by the addition of $TiO_2$.

  • PDF

Characteristics of MOCVD Cobalt on ALD Tantalum Nitride Layer Using $H_2/NH_3$ Gas as a Reactant

  • Park, Jae-Hyeong;Han, Dong-Seok;Mun, Dae-Yong;Yun, Don-Gyu;Park, Jong-Wan
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.377-377
    • /
    • 2012
  • Microprocessor technology now relies on copper for most of its electrical interconnections. Because of the high diffusivity of copper, Atomic layer deposition (ALD) $TaN_x$ is used as a diffusion barrier to prevent copper diffusion into the Si or $SiO_2$. Another problem with copper is that it has weak adhesion to most materials. Strong adhesion to copper is an essential characteristic for the new barrier layer because copper films prepared by electroplating peel off easily in the damascene process. Thus adhesion-enhancing layer of cobalt is placed between the $TaN_x$ and the copper. Because, cobalt has strong adhesion to the copper layer and possible seedless electro-plating of copper. Until now, metal film has generally been deposited by physical vapor deposition. However, one draw-back of this method is poor step coverage in applications of ultralarge-scale integration metallization technology. Metal organic chemical vapor deposition (MOCVD) is a good approach to address this problem. In addition, the MOCVD method has several advantages, such as conformal coverage, uniform deposition over large substrate areas and less substrate damage. For this reasons, cobalt films have been studied using MOCVD and various metal-organic precursors. In this study, we used $C_{12}H_{10}O_6(Co)_2$ (dicobalt hexacarbonyl tert-butylacetylene, CCTBA) as a cobalt precursor because of its high vapor pressure and volatility, a liquid state and its excellent thermal stability under normal conditions. Furthermore, the cobalt film was also deposited at various $H_2/NH_3$ gas ratio(1, 1:1,2,6,8) producing pure cobalt thin films with excellent conformality. Compared to MOCVD cobalt using $H_2$ gas as a reactant, the cobalt thin film deposited by MOCVD using $H_2$ with $NH_3$ showed a low roughness, a low resistivity, and a low carbon impurity. It was found that Co/$TaN_x$ film can achieve a low resistivity of $90{\mu}{\Omega}-cm$, a low root-mean-square roughness of 0.97 nm at a growth temperature of $150^{\circ}C$ and a low carbon impurity of 4~6% carbon concentration.

  • PDF