• Title/Summary/Keyword: Substrate temperature

Search Result 4,825, Processing Time 0.033 seconds

A Study of the Properties of CuInS2 Thin Film by Sulfurization

  • Yang, Hyeon-Hun;Park, Gye-Choon
    • Transactions on Electrical and Electronic Materials
    • /
    • v.11 no.2
    • /
    • pp.73-76
    • /
    • 2010
  • The copper indium disulfide ($CuInS_2$) thin film was manufactured using sputtering and thermal evaporation methods, and the annealing with sulfurization process was used in the vacuum chamber to the substrate temperature on the glass substrate, the annealing temperature and the composition ratio, and the characteristics thereof were investigated. The $CuInS_2$ thin film was manufactured by the sulfurization of a soda lime glass (SLG) Cu/In/S stacked [1] elemental layer deposited on a glass substrate by vacuum chamber annealing [2] with sulfurization for various times at a temperature of substrate temperature of $200^{\circ}C$. The structure and electrical properties of the film was measured in order to determine the optimum conditions for the growth of $CuInS_2$ ternary compound semiconductor $CuInS_2$ thin films with a non-stoichiometric composition. The physical properties of the thin film were investigated under various fabrication conditions [3,4], including the substrate temperature, annealing temperature and annealing time by X-ray diffraction (XRD), field Emission scanning electron microscope (FE-SEM), and Hall measurement systems. [5] The sputtering rate depending upon the DC/RF power was controlled so that the composition ratio of Cu versus In might be around 1:1, and the substrate temperature affecting the quality of the film was varied in the range of room temperature (RT) to $300^{\circ}C$ at intervals of $100^{\circ}C$, and the annealing temperature of the thin film was varied RT to $550^{\circ}C$ in intervals of $100^{\circ}C$.

Molecular Dynamics Study on Behaviors of Liquid Cluster with Shape and Temperature of Nano-Structure Substrate (나노구조기판의 형상 및 온도변화에 따른 액체 클러스터의 거동에 대한 분자동역학적 연구)

  • Ko, Sun-Mi;Jeong, Heung-Cheol;Shibahara, Masahiko;Choi, Gyung-Min;Kim, Duck-Jool
    • Journal of ILASS-Korea
    • /
    • v.13 no.1
    • /
    • pp.34-41
    • /
    • 2008
  • Molecular dynamic simulations have been carried out to study the effect of the nano-structure substrate and its temperature on cluster laminating. The interaction between substrate molecules and liquid molecules was modeled in the molecular scale and simulated by the molecular dynamics method in order to understand behaviors of the liquid cluster on nano-structure substrate. In the present model, the Lennard-Jones potential is applied to mono-atomic molecules of argon as liquid and platinum as nano-structure substrate to perform simulations of molecular dynamics. The effect of wettability on a substrate was investigated for the various beta of Lennard-Jones potential. The behavior of the liquid cluster and nano-structure substrate depends on interface wettability and function of molecules force, such as attraction and repulsion, in the collision progress. Furthermore, nano-structure substrate temperature and beta of Lennard-Jones potential have effect on the accumulation ratio. These results of simulation will be the foundation of coating application technology for micro fabrication manufacturing.

  • PDF

Room Temperature Fabrication of Organic Flexible Displays using Amorphous IZO Anode Film (비정질 IZO 애노드 박막을 이용한 유기물 플렉서블 디스플레이의 상온 제작)

  • Moon, Jong-Min;Bae, Jung-Hyeok;Jeong, Soon-Wook;Park, No-Jin;Kang, Jae-Wook;Kim, Han-Ki
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.19 no.7
    • /
    • pp.687-694
    • /
    • 2006
  • We report on the fabrication of organic-based flexible displays using an amorphous IZO anode grown at room temperature. The IZO anode films were grown by a conventional DC reactive sputtering on the polycarbonate (PC) substrate at room temperature using a synthesized IZO target in a $Ar/O_2$ ambient. Both x-ray diffraction (XRD) and high resolution electron microscope (HREM) examination results show that the IZO anode film grown at room temperature Is complete amorphous structure due to low substrate temperature. A sheet resistance of $35.6\Omega/\Box$, average transmittance above 90 % in visible range, and root mean spare roughness of $6\sim10.5\AA$ were obtained even in the IZO anode film grown on PC substrate at room temperature. It is shown that the $Ir(ppy)_3$ doped flexible organic light emitting diode (OLED) fabricated on the IZO anode exhibit comparable current-voltage-luminance characteristics as well as external quantum efficiency and power efficiency to OLED fabricated on conventional ITO/Glass substrate. These findings indicate that the IZO anode film grown on PC substrate is a promising anode materials for the fabrication of organic based flexible displays.

Humidity Sensing Characteristics of TiO2 Thin Films Fabricated by R.F.Sputtering Method (R.F.스퍼터링법에 의해 제작된 TiO2 박막의 습도감지특성)

  • You, Do-Hyun
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.62 no.7
    • /
    • pp.974-979
    • /
    • 2013
  • $TiO_2$ thin films are fabricated using R.F.sputtering method. $TiO_2$ thin films are coated on $Al_2O_3$ substrate printed IDE(interdigitated electrode). Impedance of thin films decreases according to increase relative humidity and it increases according to decrease measuring frequency. When substrate temperature is room temperature, impedance of thin films is from 45.68[MHz] to 37.76[MHz] within the limits from 30[%RH] to 75[%RH] at 1[kHz]. Whereas when substrate temperature is 100[$^{\circ}C$], impedance of thin films is from 692[kHz] to 539[kHz] within the limits from 30[%RH] to 75[%RH] at 1[kHz]. Impedance variation of thin films is bigger in low frequency regions than in high frequency regions. When substrate temperature is 100[$^{\circ}C$], impedance of thin films is lower than that of room temperature.

Characterization of Electrical Properties of $Ba_{0.65}Sr_{0.35}TiO_3$Thin Films Deposited by RF Magnetron Sputtering (RF 마그네트론 스퍼터링법에 의해 증착된 $Ba_{0.65}Sr_{0.35}TiO_3$ 박막의 전기적 특성 분석)

  • 양기덕;조호진;조해석;김형준
    • Journal of the Korean Ceramic Society
    • /
    • v.32 no.4
    • /
    • pp.441-447
    • /
    • 1995
  • Ba0.65Sr0.35TiO3 (BST) thin films were deposited on Pt/SiO2/Si(100) substrate by rf magnetron sputtering. The substrate temperature changed from 35$0^{\circ}C$ to 55$0^{\circ}C$ and crystalline BST thin films were deposited above 45$0^{\circ}C$. Most of the films had (111) preferred orientation regardless of deposition temperature, but the films changed to (100) preferred orientation as gas pressure increased. The dielectric constant increased with increasing substrate temperature and film thickness, and ranged from 100 to 600 at room temperature. The leakage current increased as substrate temperature increased or as film thickness decreased.

  • PDF

Characteristics of AZO(ZnO:Al) thin film with the substrate temperature and post-annealing (기판온도 및 후 열처리에 따른 AZO(ZnO:Al) 박막의 특징)

  • Kim, Kyung-Hwan;Cho, Bum-Jin;Keum, Min-Jong;Son, In-Hwan;Choi, Hyung-Wook;Choi, Myung-Kyu
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.432-433
    • /
    • 2005
  • In this study, Al doped ZnO(AZO) thin film were prepared on glass substrates by FTS(Facing Targets Sputtering) system. We investigated electrical, optical and structural properties of AZO thin film with the substrate temperature of the R.T, $100^{\circ}C$, $200^{\circ}C$ and the post-annealing. The crystallinity of AZO thin film was increased with increasing the substrate temperature and post-annealing temperature $600^{\circ}C$. The remarkable change of the resistivity with the substrate temperature didn't found and the resistivity with post-annealing was increased slightly.

  • PDF

Variation in the Nanostructural Features of the nc-Si:H Thin Films with Substrate Temperature (수소화된 나노결정 실리콘 박막의 기판온도에 따른 나노구조 변화)

  • Nam, Hee-Jong;Son, Jong-Ick;Cho, Nam-Hee
    • Korean Journal of Materials Research
    • /
    • v.23 no.7
    • /
    • pp.359-365
    • /
    • 2013
  • We investigated the nanostructural, chemical and optical properties of nc-Si:H films according to deposition conditions. Plasma enhanced chemical vapor deposition(PECVD) techniques were used to produce nc-Si:H thin films. The hydrogen dilution ratio in the precursors, [$SiH_4/H_2$], was fixed at 0.03; the substrate temperature was varied from room temperature to $600^{\circ}C$. By raising the substrates temperature up to $400^{\circ}C$, the nanocrystalite size was increased from ~2 to ~7 nm and the Si crystal volume fraction was varied from ~9 to ~45% to reach their maximum values. In high-resolution transmission electron microscopy(HRTEM) images, Si nanocrystallites were observed and the crystallite size appeared to correspond to the crystal size values obtained by X-ray diffraction(XRD) and Raman Spectroscopy. The intensity of high-resolution electron energy loss spectroscopy(EELS) peaks at ~99.9 eV(Si $L_{2,3}$ edge) was sensitively varied depending on the formation of Si nanocrystallites in the films. With increasing substrate temperatures, from room temperature to $600^{\circ}C$, the optical band gap of the nc-Si:H films was decreased from 2.4 to 1.9 eV, and the relative fraction of Si-H bonds in the films was increased from 19.9 to 32.9%. The variation in the nanostructural as well as chemical features of the films with substrate temperature appears to be well related to the results of the differential scanning calorimeter measurements, in which heat-absorption started at a substrate temperature of $180^{\circ}C$ and the maximum peak was observed at ${\sim}370^{\circ}C$.

Effects of Substrate Temperature on the Morphology of Diamond Thin Films Deposited by Hot Filament CVD (Hot Filament CVD에 의해서 증착된 다이아몬드 박막의 표면형상에 미치는 기판온도의 영향)

  • 형준호;조해석
    • Korean Journal of Crystallography
    • /
    • v.6 no.1
    • /
    • pp.14-26
    • /
    • 1995
  • The growth mechanism of diamond thin films, deposited by Hot Filament CVD, was investigated through observation of changes in their surface morphology as a function of the substance temperature and deposition time. Amorphous carbon or DLC thin films were deposited at low substrate temperature. Diamond films consisting of square-shaped particles, whose surfaces are (100) planes, were deposited at an intermedate temperature. At high substrate temperatures, diamond films consisting of the particles showing both (100) and (111) plane were deposited. The (100) proferred orientation of the diamond films are believed to be due to a relatively high supersaturation during deposition, and the growth condition for the diamond films having (100) preferred orientation can be applied to the single crystal growth since no twins are generated on the (100) plane. The grain size of the diamond films did not change with increasing temperature and its increasing rate with increasing deposition time was the same irrespective of the substrate temperature. However, the nucleation density increased with substrate temperature and its increasing rate with deposition time was much higher for the films deposited at higher substrate temperature.

  • PDF

Heat Transfer between Substrate and Substrate-heater in Low Vacuum (저진공 내 시료가열판과 시료의 열전달)

  • Park, Hyon-Jae;Oh, Soo-Ghee;Shin, Yong-Hyeon;Chung, Kwang-Hwa
    • Journal of the Korean Vacuum Society
    • /
    • v.17 no.4
    • /
    • pp.302-310
    • /
    • 2008
  • Heat transfer between substrate and substrate-heater in low vacuum was investigated. The convection related with gas flow and pressure, the heat conduction considering surface roughness and contact pressure, and the heat loss by radiation depending on the surface emissivity were considered. The coefficient of heat conduction $h_c$ in the Fourier's law were determined experimentally from the temperature difference between the substrate and the substrate-heater in the range of substrate-heater temperature $100\;-\;500^{\circ}C$, in the pressures of 300 mTorr - 1 Torr. The temperature difference was then calculated in the reverse way for the purpose of verification, using the heat flow and the experimentally determined coefficients. The verified temperature differences were thus obtained within 0.33 % error.

Development of {110}<110> Textured Ag Substrate for YBCO Coated Conductors ({110}<110> 집합조직을 가지는 YBCO 박막 선재용 Ag Substrate 개발)

  • 임준형;김정호;지봉기;장석헌;김규태;주진호;김찬중;홍계원
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.1
    • /
    • pp.94-100
    • /
    • 2004
  • We fabricated textured Ag substrates for YBCO coated conductor and evaluated the effects of annealing temperature on microstructural evolution, texture formation, and surface morphology. Ag ingot, as an initial specimen, was prepared by plasma arc melting(PAM). Subsequently, the ingot was cold rolled to 100 ${\mu}{\textrm}{m}$ thick tape and annealed at temperatures of 600-80$0^{\circ}C$. The texture and surface morphology of the substrate were characterized by pole-figure and atomic force microscopy(AFM) profile, respectively. It was observed that a strong {110}<110> texture was formed after annealing and its symmetry improved as annealing temperature increased. The full-width at half-maximum(FWHM) of {110}<110> pole was as sharp as 10$^{\circ}$ for the substrate annealed at 80$0^{\circ}C$. On the other hand, it was found that the thermal grooving and faceting became remarkable as annealing temperature increased : root-mean-square(RMS) roughness of the substrate annealed at 80$0^{\circ}C$ was 39.2 nm. The substrate of strong texture and smooth surface, fabricated in our study, is considered to be suitable for use as a substrate for the epitaxial deposition of superconductor film.