• Title/Summary/Keyword: Sidewall

Search Result 348, Processing Time 0.029 seconds

Near-field Optical Lithography for High-aspect-ratio Patterning by Using Electric Field Enhanced Postexposure Baking (전기장이 적용된 노광후굽기 공정에 의한 고종횡비 근접장 광 리소그래피)

  • Kim, Seok;Jang, Jin-Hee;Kim, Yong-Woo;Jung, Ho-Won;Hahn, Jae-Won
    • Korean Journal of Optics and Photonics
    • /
    • v.21 no.6
    • /
    • pp.241-246
    • /
    • 2010
  • In this paper, we propose an electric field enhanced postexposure baking (EFE-PEB) method to obtain deep and high aspect ratio pattern profile in near-field recording. To describe the photoacid distribution under an external electric field during the PEB, we derived the governing equations based on Fick's second law of diffusion. From the results of the numerical calculations, it is found that the vertical movement of photoacid increases while the lateral movement is stationary as electric field varies from 0 to $8.0{\times}10^6\;V/m$. Also, it is proven that the profile of near-field recording is improved by using the EFE-PEB method with increased depth, higher aspect ratio and larger sidewall angle.

Analysis of electron mobility in LDD region of NMOSFET (NMOSFET에서 LDD 영역의 전자 이동도 해석)

  • 이상기;황현상;안재경;정주영;어영선;권오경;이창효
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.33A no.10
    • /
    • pp.123-129
    • /
    • 1996
  • LDD structure is widely accepted in fabricating short channel MOSFETs due to reduced short channel effect originated form lower drain edge electric field. However, modeling of the LDD device is troublesome because the analysis methods of LDD region known are either too complicated or inaccurate. To solve the problem, this paper presents a nonlinear resistance model for the LDD region based on teh fact that the electron mobility changes with positive gate bias because accumulation layer of electrons is formed at the surface of the LDD region. To prove the usefulness of the model, single source/drain and LDD nMOSFETs were fabricated with 0.35$\mu$m CMOS technolgoy. For the fabricated devices we have measured I$_{ds}$-V$_{gs}$ characteristics and compare them to the modeling resutls. First of all, we calculated channel and LDD region mobility from I$_{ds}$-V$_{gs}$ characteristics of 1050$\AA$ sidewall, 5$\mu$m channel length LDD NMOSFET. Then we MOSFET and found good agreement with experiments. Next, we use calculated channel and LDD region mobility to model I$_{ds}$-V$_{gs}$ characteristics of LDD mMOSFET with 1400 and 1750$\AA$ sidewall and 5$\mu$m channel length and obtained good agreement with experiment. The single source/drain device characteristic modeling results indicates that the cahnnel mobility obtained form our model in LDD device is accurate. In the meantime, we found that the LDD region mobility is governed by phonon and surface roughness scattering from electric field dependence of the mobility. The proposed model is useful in device and circuit simulation because it can model LDD device successfully even though it is mathematically simple.

  • PDF

Study of Sloshing Flow in a Rectangular Tank (사각용기의 슬로싱 유동에 관한 연구)

  • Ji, Young-Moo;Shin, Young-Seop;Park, Jun-Sang;Hyun, Jae-Min
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.35 no.6
    • /
    • pp.617-624
    • /
    • 2011
  • The two-dimensional sloshing problem in a rigid rectangular tank with a free surface is considered. The flow is generated by a container in harmonic motion in time along the horizontal axis, i.e., a container excited by u=Asin($2{\pi}ft$) where u denotes the container velocity imposed externally, A is the amplitude of the oscillation velocity, and f is the frequency of oscillation. Experimental apparatus is arranged to investigate the large-amplitude sloshing flows in off-resonant conditions, where the large amplitude means that A~O(1), and the distance, S, is comparable to the breadth, L, of the container, i.e., L/S~O(1). Comprehensive particle image velocimetry (PIV) data are obtained, which show that the flow physics of the nonlinear off-resonant sloshing problem can be characterized into three peculiar free surface motions: standing-wave motions similar to those of linear sloshing, a run-up phenomenon along the vertical sidewall at the moment of turn-over of the container, and gradually propagating bore motion from the sidewall to the interior fluid region, like a hydraulic jump.

Variable-color Light-emitting Diodes Using GaN Microdonut Arrays

  • Tchoe, Youngbin;Jo, Janghyun;Kim, Miyoung;Heo, Jaehyuk;Yoo, Geonwook;Sone, Cheolsoo;Yi, Gyu-Chul
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.280-280
    • /
    • 2014
  • We report the fabrication and electroluminescent characteristics of GaN/InxGa1-xN microdonut-shaped light-emitting diode (LED) microarrays as variable-color emitters. The diameter, width, height, and period of the GaN microdonuts were controlled by their growth parameters and the geometrical factors of the growth mask patterns. For the fabrication of microdonut LEDs, p-GaN/p-AlxGa1-xN/u-GaN/u-InxGa1-xN heteroepitaxial layers were coated on the entire surface of n-GaN microdonuts. The microdonut LED arrays showed strong light emission, which could be seen with the unaided eye under normal room illumination. Additionally, magnified optical images of microdonut LED arrays exhibited microdonut-shaped light emissions having spatially resolved blue and green colors. Their electroluminescence spectra had two dominant peaks at 460 and 560 nm. With increasing applied voltage, the intensity of the blue emission peak increased much faster than that of the green emission peak, indicating that the color of the LEDs is tunable. We also demonstrated that EL spectra of the devices could be controlled by changing the size of microdonut LEDs. What we want to emphasize here with the microdonut LEDs is that they have additional inner sidewall facets which did not exist for other typical three-dimensional structures including nanopyramids and nanorods, and that InxGa1-xN single quantum well formed on the inner sidewall facets had unique thickness and chemical composition, which generated additional EL color. The origin of the electroluminescence peaks was investigated by structural characterizations and chemical analyses.

  • PDF

RIE induced damage recovery on trench surface (트렌치 표면에서의 RIE 식각 손상 회복)

  • 이주욱;김상기;배윤규;구진근
    • Journal of the Korean Vacuum Society
    • /
    • v.13 no.3
    • /
    • pp.120-126
    • /
    • 2004
  • A damage-reduced trench was investigated in view of the defect distribution along trench sidewall and bottom using high resolution transmission electron microscopy, which was formed by HBr plasma and additive gases in magnetically enhanced reactive ion etching system. Adding $O_2$ and other additive gases into HBr plasma makes it possible to eliminate sidewall undercut and lower surface roughness by forming the passivation layer of lateral etching. To reduce the RIE induced damage and obtain the fine shape trench corner rounding, we investigated the hydrogen annealing effect after trench formation. Silicon atomic migration on trench surfaces using high temperature hydrogen annealing was observed with atomic scale view. Migrated atoms on crystal surfaces formed specific crystal planes such as (111), (113) low index planes, instead of fully rounded comers to reduce the overall surface energy. We could observe the buildup of migrated atoms against the oxide mask, which originated from the surface migration of silicon atoms. Using this hydrogen annealing, more uniform thermal oxide could be grown on trench surfaces, suitable for the improvement of oxide breakdown.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Field Survey of structural and Environmental Characteristics of Pig Houses in the Southern Provinces in Korea (전업양축농가를 위한 남부지방 돈사의 구존 및 환경실태조사)

  • 최홍림;송준익;안희권
    • Journal of Animal Environmental Science
    • /
    • v.6 no.1
    • /
    • pp.1-14
    • /
    • 2000
  • The structural and environmental characteristics of typical pig houses in different growth phases were surveyed and analyzed. Based on the data for thirty nine selected farms in four provinces, Jeonbuk-do, Jeonnam-do, Gyeongbuk-do, and Gyeongnam-do, in the southern provinces, Korea, the goal is to eventually establish standard pig houses of sow and litter, nursery pigs, and growing-finishing pigs. The survey included farm scale, production specialization, structural dimensions of the houses and their ventilation systems, cooling and heating systems, and floor and pit systems related to manure collection. The survey showed 90∼98% of growing-finishing pig houses adopted the sidewall curtain systems. The sidewall curtain systems, although popular, is not well insulated which leads to excessive heating costs in winter. Regarding flooring and manure collection system of the house, 23∼35% of growing-finishing houses installed scraper systems with concrete-slat floors in Gyeongsang provinces while 52∼78% did in Jeolla provinces. The cause of a large variance in flooring between tow regions could not be academically pinpointed, rather it could be attributed to the advice of neighbors who leads local pig production circle. A general trend toward enlargement and enclosure of pig houses for all growth phases was gaining popularity in most regions in recent years. A steady shift to multisite operation from continuous operation was also observed to prevent a disease transfer. The structural design of a standard pig house with its environmental control systems including ventilation and heating/cooling system was suggested for further validation study. In-depth analysis of the survey data is presented in the Results and Discussion section.

  • PDF

Etch Characteristics of $SiO_2$ by using Pulse-Time Modulation in the Dual-Frequency Capacitive Coupled Plasma

  • Jeon, Min-Hwan;Gang, Se-Gu;Park, Jong-Yun;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.472-472
    • /
    • 2011
  • The capacitive coupled plasma (CCP) has been extensively used in the semiconductor industry because it has not only good uniformity, but also low electron temperature. But CCP source has some problems, such as difficulty in varying the ion bombardment energy separately, low plasma density, and high processing pressure, etc. In this reason, dual frequency CCP has been investigated with a separate substrate biasing to control the plasma parameters and to obtain high etch rate with high etch selectivity. Especially, in this study, we studied on the etching of $SiO_2$ by using the pulse-time modulation in the dual-frequency CCP source composed of 60 MHz/ 2 MHz rf power. By using the combination of high /low rf powers, the differences in the gas dissociation, plasma density, and etch characteristics were investigated. Also, as the size of the semiconductor device is decreased to nano-scale, the etching of contact hole which has nano-scale higher aspect ratio is required. For the nano-scale contact hole etching by using continuous plasma, several etch problems such as bowing, sidewall taper, twist, mask faceting, erosion, distortions etc. occurs. To resolve these problems, etching in low process pressure, more sidewall passivation by using fluorocarbon-based plasma with high carbon ratio, low temperature processing, charge effect breaking, power modulation are needed. Therefore, in this study, to resolve these problems, we used the pulse-time modulated dual-frequency CCP system. Pulse plasma is generated by periodical turning the RF power On and Off state. We measured the etch rate, etch selectivity and etch profile by using a step profilometer and SEM. Also the X-ray photoelectron spectroscopic analysis on the surfaces etched by different duty ratio conditions correlate with the results above.

  • PDF

A Study on plasma etching for PCR manufacturing (PCR 장치를 위한 플라즈마 식각에 관한 연구)

  • Kim, Jinhyun;Ryoo, Kunkul;Lee, Jongkwon;Lee, Yoonbae;Lee, Miyoung
    • Clean Technology
    • /
    • v.9 no.3
    • /
    • pp.101-105
    • /
    • 2003
  • Plasma etching technology has been developed since it is recognized that silicon etching is very crucial in MEMS(Micro Electro Mechanical System) technology. In this study ICP(Inductive Coupled Plasma) technology was used as a new plasma etching to increase ion density without increasing ion energy, and to maintain the etching directions. This plasma etching can be used for many MEMS applications, but it has been used for PCR(Polymerase Chain Reaction) device fabrication. Platen power, Coil power and process pressure were parameters for observing the etching rate changes. Conclusively Platen power 12W, Coil power 500W, etchng/passivation cycle 6/7sec gives the etching rate of $1.2{\mu}m/min$ and sidewall profile of $90{\pm}0.7^{\circ}$, exclusively. It was concluded from this study that it was possible to minimize the environmental effect by optimizing the etching process using SF6 gas.

  • PDF

Investiagtions on the Etching of Platinum Film using High Density Inductively Coupled Ar/Cl$_2$ HBr Plasmas

  • Kim, Nam-Hoon;Chang-Il kim;Chang, Eui-Goo;Kwon, Kwang-Ho
    • Transactions on Electrical and Electronic Materials
    • /
    • v.1 no.3
    • /
    • pp.14-17
    • /
    • 2000
  • Giga bit dynamic random access memory(DRAM) requires the capacitor of high dielectric films. Some metal oxides films have been proposed as the dielectric material . And Pt is one of the most promising electrode materials. However very little has been done in developing the etching technologoy Pt film. Therefore, it is the first priority to develop the technology for plasma etching of Pt film. In this study, the dry etching of Pt film was investigated in Inductively Coupled Plasma(ICP) etching system with Cl$_2$/Ar and HBr/Cl$_2$/Ar gas mixing. X-ray photoelectron spectroscopy (XPS) was used in analysis of sidewall residues for the understanding of etching mechanism. We found the etch residues on the pattern sidewall is mainly Pt-Pt, Pt-Cl and Pt-Br compounds, Etch profile was observed by Scanning Electron Spectroscopy(SEM) . The etch rate of Pt film at 10%, Cl$_2$/90% Ar gas mixing ration was higher than at 100%. Ar. Addition of HBr to Cl$_2$/Ar as an etching gas led to generally higher selectivity to SiO$_2$. And the etch residues were reduced at 5% HBr/5% Cl$_2$/90% Ar gas mixing ration. These pages provide you with an examples of the layout and style which we wish you to adopt during the preparation of your paper, Make the width of abstract to be 14cm.

  • PDF