• 제목/요약/키워드: STI(shallow trench isolation) CMP

검색결과 45건 처리시간 0.025초

STI-CMP 공정을 위한 Pattern wafer와 Blanket wafer 사이의 특성 연구 (A study on Relationship between Pattern wafer and Blanket Wafer for STI-CMP)

  • 김상용;이경태;김남훈;서용진;김창일;이우선;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 1999년도 춘계학술대회 논문집
    • /
    • pp.211-213
    • /
    • 1999
  • In this paper, we documented the controlling oxide removal amount on the pattern wafer using removal rate and removal thickness of blanket wafer. There was the strong correlation relationship for both(correlation factor:0.7109). So, we could confirm the repeatability as applying for STI CMP process from the obtained linear formular. As the result of repeatability test, the difference of calculated polishing time and actual polishing time was 3.48 seconds based on total 50 lots. If this time is converted into the thickness, it is from 104$\AA$ to 167$\AA$. It is possible to be ignored because it is under the process margin.

  • PDF

STI-CMP 공정에 미치는 연마 패드 특성에 관한 연구 (A Study on the Characteristics of Polishing Pad in STI-CMP Process)

  • 박성우;박성우;김상용;이우선;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 하계학술대회 논문집
    • /
    • pp.54-57
    • /
    • 2001
  • We studied the characteristics of polishing pad, which can apply STI-CMP process for global planarization of multilevel interconnection structure. Also, we investigated the effects of different sets of polishing pad, such as soft and hard pad. As an experimental result, hard pad showed center-fast type, and soft pad showed edge-fast type. Totally, the defect level has shown little difference, however, the counts of scratch was defected less than 2 on JRlll pad. Through the above results, we can select optimum polishing pad, so we can expect the improvements of throughput and devise yield.

  • PDF

STI CMP후 Topology에 따른 Gate Etch, Transistor 특성 변화 (Property variation of transistor in Gate Etch Process versus topology of STI CMP)

  • 김상용;정헌상;박민우;김창일;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집
    • /
    • pp.181-184
    • /
    • 2001
  • Chemical Mechanical Polishing(CMP) of Shallow Trench Isolation(STI) structure in 0.18 m semiconductor device fabrication is studied. CMP process is applied for the STI structure with and without reverse moat pattern and End Point Detection (EPD) method is tested. To optimize the transistor properties related metal 1 parameters, we studied the correlation between CMP thickness of STI using high selectivity slurry, DOE of gate etch recipe, and 1st metal DC values. Remaining thickness of STI CMP is proportional to the thickness of gate-etch process and this can affect to gate profile. As CMP thickness increased, the N-poly foot is deteriorated, and the P-Poly Noth is getting better. If CD (Critical Dimension) value is fixed at some point, all IDSN/P values are in inverse proportional to CMP thickness by reason of so called Profile Effect. Weve found out this phenomenon in all around DOE conditions of Gate etch process and we also could understand that it would not have any correlation effects between VT and CMP thickness in the range of POE 120 sec conditions. As CMP thickness increased by 100 ${\AA}$, 3.2 u${\AA}$ of IDSN is getting better in base 1 condition. In POE 50% condition, 1.7 u${\AA}$ is improved, and 0.7 u${\AA}$ is improved in step 2 condition. Wed like to set the control target of CD (critical dimension) in gate etch process which can affect Idsat, VT property versus STI topology decided by CMP thickness. We also would like to decide optimized thickness target of STI CMP throughout property comparison between conventional STI CMP with reverse moat process and newly introduced STI CMP using high selectivity slurry. And we studied the process conditions to reduce Gate Profile Skew of which source known as STI topology by evaluation of gate etch recipe versus STI CMP thickness.

  • PDF

STI CMP후 Topology에 따른 Gate Etch, Transistor 특성 변화 (Property variation of transistor in Gate Etch Process versus topology of STI CMP)

  • 김상용;정헌상;박민우;김창일;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집 Vol.14 No.1
    • /
    • pp.181-184
    • /
    • 2001
  • Chemical Mechanical Polishing(CMP) of Shallow Trench Isolation(STD structure in 0.18 m semiconductor device fabrication is studied. CMP process is applied for the STI structure with and without reverse moat pattern and End Point Detection (EPD) method is tested. To optimize the transistor properties related metal 1 parameters. we studied the correlation between CMP thickness of STI using high selectivity slurry. DOE of gate etch recipe, and 1st metal DC values. Remaining thickness of STI CMP is proportional to the thickness of gate-etch process and this can affect to gate profile. As CMP thickness increased. the N-poly foot is deteriorated. and the P-Poly Noth is getting better. If CD (Critical Dimension) value is fixed at some point,, all IDSN/P values are in inverse proportional to CMP thickness by reason of so called Profile Effect. Weve found out this phenomenon in all around DOE conditions of Gate etch process and we also could understand that it would not have any correlation effects between VT and CMP thickness in the range of POE 120 sec conditions. As CMP thickness increased by $100\AA$. 3.2 $u\AA$ of IDSN is getting better in base 1 condition. In POE 50% condition. 1.7 $u\AA$ is improved. and 0.7 $u\AA$ is improved in step 2 condition. Wed like to set the control target of CD (critical dimension) in gate etch process which can affect Idsat, VT property versus STI topology decided by CMP thickness. We also would like to decide optimized thickness target of STI CMP throughout property comparison between conventional STI CMP with reverse moat process and newly introduced STI CMP using high selectivity slurry. And we studied the process conditions to reduce Gate Profile Skew of which source known as STI topology by evaluation of gate etch recipe versus STI CMP thickness.

  • PDF

STI CMP용 나노 세리아 슬러리에서 연마입자의 결정특성에 따른 평탄화 효율의 의존성 (Dependency of Planarization Efficiency on Crystal Characteristic of Abrasives in Nano Ceria Slurry for Shallow Trench Isolation Chemical Mechanical Polishing)

  • Kang, Hyun-Goo;Takeo Katoh;Kim, Sung-Jun;Ungyu Paik;Park, Jea-Gun
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 추계학술발표강연 및 논문개요집
    • /
    • pp.65-65
    • /
    • 2003
  • Chemical mechanical polishing (CMP) is one of the most important processes in recent ULSI (Ultra Large Scale Integrated Circuit) manufacturing technology. Recently, ceria slurries with surfactant have recently been used in STI-CMP,[1] became they have high oxide-to-nitride removal selectivity and widen the processing margin The role of the abrasives, however, on the effect of planarization on STI-CMP is not yet clear. In this study, we investigated how the crystal characteristic affects the planarization efficiency of wafer surface with controlling crystallite size and poly crystalline abrasive size independently.

  • PDF

STI 채널 모서리에서 발생하는 MOSFET의 험프 특성 (The MOSFET Hump Characteristics Occurring at STI Channel Edge)

  • 김현호;이천희
    • 한국시뮬레이션학회논문지
    • /
    • 제11권1호
    • /
    • pp.23-30
    • /
    • 2002
  • An STI(Shallow Trench Isolation) by using a CMP(Chemical Mechanical Polishing) process has been one of the key issues in the device isolation[1] In this paper we fabricated N, P-MOSFEET tall analyse hump characteristics in various rounding oxdation thickness(ex : Skip, 500, 800, 1000$\AA$). As a result we found that hump occurred at STI channel edge region by field oxide recess. and boron segregation(early turn on due to boron segregatiorn at channel edge). Therefore we improved that hump occurrence by increased oxidation thickness, and control field oxide recess( 20nm), wet oxidation etch time(19HF,30sec), STI nitride wet cleaning time(99HF, 60sec+P 90min) and fate pre-oxidation cleaning time (U10min+19HF, 60sec) to prevent hump occurring at STI channel edge.

  • PDF

Oxide CMP 공정의 최적화에 관한 연구 (Optimizations for oxide CMP processes)

  • 김동일;허종곤;윤각기;이종구
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1998년도 하계종합학술대회논문집
    • /
    • pp.481-484
    • /
    • 1998
  • In this study, oxide(TEOS) CMPs were carried out for various head pressures. Table and head speeds are fixed at 25 RPM. Head pressures are 5, 7.5, 10, 12.5 PSI, and under these conditions, 1,587, 1,631, 2,556, 2,871.agns./min of oxide (TEOS) removal rates and 14.7, 18.5, 9.52, 7.9% of uniformities are obtained, respectively. Also, these experiments for local and global planarizations were done using the patterned 4" wafers. These conditions are applicable to STI(shallow trench isolation) structures and planarizations for sub-half micron lithography.aphy.

  • PDF