• 제목/요약/키워드: RIE dry etching

검색결과 60건 처리시간 0.035초

Fabrication of Large Area Transmission Electro-Absorption Modulator with High Uniformity Backside Etching

  • Lee, Soo Kyung;Na, Byung Hoon;Choi, Hee Ju;Ju, Gun Wu;Jeon, Jin Myeong;Cho, Yong Chul;Park, Yong Hwa;Park, Chang Young;Lee, Yong Tak
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제45회 하계 정기학술대회 초록집
    • /
    • pp.220-220
    • /
    • 2013
  • Surface-normal transmission electro-absorption modulator (EAM) are attractive for high-definition (HD) three-dimensional (3D) imaging application due to its features such as small system volume and simple epitaxial structure [1,2]. However, EAM in order to be used for HD 3D imaging system requires uniform modulation performance over large area. To achieve highly uniform modulation performance of EAM at the operating wavelength of 850 nm, it is extremely important to remove the GaAs substrate over large area since GaAs material has high absorption coefficient below 870 nm which corresponds to band-edge energy of GaAs (1.424 eV). In this study, we propose and experimentally demonstrate a transmission EAM in which highly selective backside etching methods which include lapping, dry etching and wet etching is carried out to remove the GaAs substrate for achieving highly uniform modulation performance. First, lapping process on GaAs substrate was carried out for different lapping speeds (5 rpm, 7 rpm, 10 rpm) and the thickness was measured over different areas of surface. For a lapping speed of 5 rpm, a highly uniform surface over a large area ($2{\times}1\;mm^2$) was obtained. Second, optimization of inductive coupled plasma-reactive ion etching (ICP-RIE) was carried out to achieve anisotropy and high etch rate. The dry etching carried out using a gas mixture of SiCl4 and Ar, each having a flow rate of 10 sccm and 40 sccm, respectively with an RF power of 50 W, ICP power of 400 W and chamber pressure of 2 mTorr was the optimum etching condition. Last, the rest of GaAs substrate was successfully removed by highly selective backside wet etching with pH adjusted solution of citric acid and hydrogen peroxide. Citric acid/hydrogen peroxide etching solution having a volume ratio of 5:1 was the best etching condition which provides not only high selectivity of 235:1 between GaAs and AlAs but also good etching profile [3]. The fabricated transmission EAM array have an amplitude modulation of more than 50% at the bias voltage of -9 V and maintains high uniformity of >90% over large area ($2{\times}1\;mm^2$). These results show that the fabricated transmission EAM with substrate removed is an excellent candidate to be used as an optical shutter for HD 3D imaging application.

  • PDF

HEMT 소자 제작을 위한 GaAs/AlGaAs층의 선택적 건식식각 (Selective Dry Etching of GaAs/AlGaAs Layer for HEMT Device Fabrication)

  • 김흥락;서영석;양성주;박성호;김범만;강봉구;우종천
    • 전자공학회논문지A
    • /
    • 제28A권11호
    • /
    • pp.902-909
    • /
    • 1991
  • A reproducible selective dry etch process of GaAs/AlGaAs Heterostructures for High Electron Mobility Transistor(HEMT) Device fabrication is developed. Using RIE mode with $CCl_{2}F_{2}$ as the basic process gas, the observed etch selectivity of GaAs layer with respect to GaAs/$Al_{0.3}Ga_{0.7}$As is about 610:1. Severe polymer deposition problem, parialy generated from the use of $CCl_{2}F_{2}$ gas only, has been significantly reduced by adding a small amount of He gas or by $O_{2}$ plasma ashing after etch process. In order to obtain an optimized etch process for HEMT device fabrication, we com pared the properties of the wet etched Schottky contact with those of the dry etched one, and set dry etch condition to approach the characteristics of Schottky diode on wet etched surface. By applying the optimized etch process, the fabricated HEMT devices have the maximum transconductance $g_{mext}$ of 224 mS/mm, and have relatively uniform distribution across the 2inch wafer in the value of 200$\pm$20mS/mm.

  • PDF

고밀도 평판형 유도결합 $BCl_3/CF_4$ 플라즈마에 의한 GaAs 계열반도체의 선택적 식각에 관한 연구 (Study of Selective Etching of GaAs-based Semiconductors using High Density Planar Inductively Coupled $BCl_3/CF_4$ Plasmas)

  • 최충기;박민영;장수욱;유승열;이제원;송한정;전민현
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2005년도 하계학술대회 논문집 Vol.6
    • /
    • pp.46-47
    • /
    • 2005
  • 이번 연구는 $BCl_3/CF_4$ 플라즈마를 사용하여 반도체소자 제조 시 널리 이용되는 GaAs 계열반도체 중 대표적인 재료인 GaAs/AlGaAs 및 GaAs/InGaP 구조를 선택적으로 건식 식각한 후 분석한 것이다. 공정변수로는 ICP 소스파워를 0-500W, RIE 파워를 0-50W 그리고 $BCl_3/CF_4$ 가스 혼합비를 중점적으로 변화시켰다. $BCl_3$ 플라즈마만을 사용한 경우 (20$BCl_3$, 20W RIE power, 300W ICP source power, 7.5mTorr) 는 GaAs:AlGaAs의 선택비가 0.5:1 이었으며 이때 GaAs의 식각률은 ~2200${\AA}/min$ 이었으며 AlGaAs의 식각률은 ~4500${\AA}/min$ 이었다. 식각 후 표면의 RMS roughness은 < 2nm로 깨끗한 결과를 보여주었다. 15% $CF_4$ 가스가 혼합된 $17BCl_3/3CF_4$, 20W RIE power, 300W ICP source power, 7.5mTorr의 조건에서 3분 동안 공정한 결과 순수한 $BCl_3$ 플라즈마만을 사용한 경우보다 표면은 다소 거칠었지만 (RMS roughness: ~8.4) GaAs의 식각률 (~980nm/min)과 AlGaAs와 InGaP에 대한 GaAs의 선택도 (GaAs:AlGaAs=16:1, GaAs:InGaP=38:1)는 크게 증가하였다. 그리고 AlGaAs 및 InGaP의 경우 식각 시 나타난 휘발성이 낮은 식각 부산물 ($AlF_3:1300^{\circ}C$, $InF_3:1200^{\circ}C$)로 인하여 50nm/min 이하의 낮은 식각률을 보였고, 62.5%의 $CF_4$가 혼합된 $7.5BCl_3/12.5CF_4$플라즈마의 조건에서는 AlGaAs 및 InGaP에 대한 GaAs의 선택도가 각각 280:1, 250:1을 나타내었다.

  • PDF

SF6, C4F8, O2 가스 변화에 따른 실리콘 식각율과 식각 형태 개선 (Improvement of Etch Rate and Profile by SF6, C4F8, O2 Gas Modulation)

  • 권순일;양계준;송우창;임동건
    • 한국전기전자재료학회논문지
    • /
    • 제21권4호
    • /
    • pp.305-310
    • /
    • 2008
  • Deep trench etching of silicon was investigated as a function of RF source power, DC bias voltage, $C_4F_8$ gas flow rate, and $O_2$ gas addition. On increasing the RF source power from 300 W to 700 W, the etch rate was increased from $3.52{\mu}m/min$ to $7.07{\mu}m/min$. The addition of $O_2$ gas improved the etch rate and the selectivity. The highest etch rate is achieved at the $O_2$ gas addition of 12 %, The selectivity to PR was 65.75 with $O_2$ gas addition of 24 %. At DC bias voltage of -40 V and $C_4F_8$ gas flow rate of 30 seem, We were able to achieve etch rate as high as $5.25{\mu}m/min$ with good etch profile.

전계방출 및 근접 광센서 응용을 위한 서브 마이크론 aperture의 제작 (Microfabrication of submicron-size hole for potential held emission and near field optical sensor applications)

  • Lee, J.W.;Park, S.S.;Kim, J.W.;M.Y. Jung;Kim, D.W.
    • 한국진공학회지
    • /
    • 제9권2호
    • /
    • pp.99-101
    • /
    • 2000
  • Submicron aperture 제작 기술은 near field optical sensor 또는 liquid metal ion source에 응용될 수 있는 가능성으로 인해 흥미를 모으고 있다. 본 실험에서는 submicron aperture 제작에 대해 기술할 것이다. 먼저 2 $\mu\textrm{m}$크기의 dot array를 광학 리소그라피 방법으로 패턴화하였다. KOH 비등방성 식각 방법으로 V-groove형을 만든 후, $1000^{\circ}C$에서 600분동안 건식 산화작업을 거쳤다. 이 산화과정에서 결정 방향에 따라 산화율이 달라지게 되는데 Si(111)면은 Si(100)면에 비해 산화율이 커서 두꺼운 산화막이 형성되며, 이 막은 연이은 건식식각 과정에서 etch-mask로 활용된다. Reactive ion etching은 ICP (Inductively Coupled Plasma) 장비를 사용하였으며, V-groove의 바닥에 형성된 90nm두께의 SiO$_2$와 그 아래의 Si을 식각하였다. 이 때, 기판에 걸린 negative bias는 $Cl_2$ RIE의 anisotropic etchig 효과를 증대시키는 것 같았으며, SEM촬영 결과 식각 후에 Si(111)면 위에는 약 130 nm정도의 산화층이 잔류하고 있었다. 이렇게 형성된 Si aperture는 향후 NSOM sensor등에 적용될 수 있을 것이다.

  • PDF

양방향 반사 지연선을 이용한 무선, 무전원 SAW 기반 온, 습도 센서 개발 (Development of a Wireless, Battery-free SAW-based Temperature and Humidity Sensor incorporating a Bidirectional Reflective Delay Line)

  • 임천배;이기근
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2009년도 제40회 하계학술대회
    • /
    • pp.1515_1516
    • /
    • 2009
  • A 440MHz wireless and passive surface acoustic wave (SAW) based micro-sensor was developed for simultaneous measurement of temperature and humidity. The developed sensor is composed of a SAW reflective delay lines structured by an IDT (Inter-Digital Transducer), four reflectors and humidity sensitive film (polyimide). Polyimide was dry-ecthed by RIE (Reactive Ion Etching) to obtain high roughness, which gives the large reaction area resulting in high sensitivity. In wireless testing using a network analyzer, sharp reflection peaks with high S/N ratio, small signal attenuation, and few spurious peaks were observed in the time domain. High sensitivity towards the temperature and humidiy were also observed in the large concentration range. The obtained sensitivity was $16.8^{\circ}/^{\circ}C$ for temperature sensor and $15.8^{\circ}$/%RH for humidity sensor.

  • PDF

MMIC 제작을 위한 반도체 공정 조건들의 최적화 - Si$_3$N$_4$증착, GaAs via-hole건식식각, Airbridge공정 (The Optimization of Semiconductor Processes for MMIC Fabrication - Si$_3$N$_4$ deposition, GaAs via-hole dry etching, Airbridge process)

  • 정진철;김상순;남형기;송종인
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1999년도 하계종합학술대회 논문집
    • /
    • pp.934-937
    • /
    • 1999
  • MMIC 제작을 위한 단일 반도체 공정으로써 PECVD를 이용한 Si₃N₄의 증착, RIE를 이용한 CaAs via-hole건식식각, 그리고 airbridge 공정조건을 위한 실험 및 분석 작업을 수행하였다. Si₃N₄의 증착 실험에서는 굴절률이 2인 조건을, GaAs via-hole 식각 실험에서는 최적화된 thru-via의 모양과 식각률을 갖는 조건을, airbridge 실험에서는 polyimide coating 및 건식 식각 조건과 금 도금 및 습식 식각의 최적 조건들을 찾아내었다.

  • PDF

전자빔 네가티브 레지스트의 건식현상에 관한 연구 (A study on the dry development of Electron beam negative resist)

  • 박종관;박상근;조성욱;우호환;김영봉;이덕출
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1994년도 추계학술대회 논문집 학회본부
    • /
    • pp.278-280
    • /
    • 1994
  • The purpose of this paper is to describe an application of plasma polymerized thin film as an electron beam resist. Plasma polymerized thin film was prepared using an interelectrod inductively coupled gas-flow-type reactor. Styrene was chosen as the monomer to be used. This thin films were also delineated by the electron-beam apparatus and the pattern in the resist was developed with RIE and plasma polymerized apparatus. The effect of charge of pressure on growth rate and etching rate of the thin films were studied. The molecular structure of thin film was investigated by FT-IR and then was discussed in relation to its quality as a resist.

  • PDF

저진공 축전 결합형 BCl3/N2 플라즈마를 이용한 GaAs의 건식 식각 (Capacitively Coupled Dry Etching of GaAs in BCl3/N2 Discharges at Low Vacuum Pressure)

  • 김재권;박주홍;이성현;노호섭;주영우;박연현;김태진;이제원
    • 한국재료학회지
    • /
    • 제19권3호
    • /
    • pp.132-136
    • /
    • 2009
  • This study investigates GaAs dry etching in capacitively coupled $BCl_3/N_2$ plasma at a low vacuum pressure (>100 mTorr). The applied etch process parameters were a RIE chuck power ranging from $100{\sim}200W$ on the electrodes and a $N_2$ composition ranging from $0{\sim}100%$ in $BCl_3/N_2$ plasma mixtures. After the etch process, the etch rates, RMS roughness and etch selectivity of the GaAs over a photoresist was investigated. Surface profilometry and field emission-scanning electron microscopy were used to analyze the etch characteristics of the GaAs substrate. It was found that the highest etch rate of GaAs was $0.4{\mu}m/min$ at a 20 % $N_2$ composition in $BCl_3/N_2$ (i.e., 16 sccm $BCl_3/4$ sccm $N_2$). It was also noted that the etch rate of GaAs was $0.22{\mu}m/min$ at 20 sccm $BCl_3$ (100 % $BCl_3$). Therefore, there was a clear catalytic effect of $N_2$ during the $BCl_3/N_2$ plasma etching process. The RMS roughness of GaAs after etching was very low (${\sim}3nm$) when the percentage of $N_2$ was 20 %. However, the surface roughness became rougher with higher percentages of $N_2$.

Improvement of Light Extraction Efficiency of GaN-Based Vertical LED with Microlens Structure

  • Kwon, Eunhee;Kang, Eun Kyu;Min, Jung Wook;Lee, Yong Tak
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제45회 하계 정기학술대회 초록집
    • /
    • pp.221-221
    • /
    • 2013
  • Vertical LED (VLED) has been recognized as a way to obtain the high-power LED due to their advantages [1]. However, approximately 4% of the light generated from the active region is extracted, if the light extraction from side walls and back side is neglected because of Fresnel reflection (FR) and total internal reflection (TIR) [2,3]. In this study, the optical simulation of the VLED with the various microstructures was performed. Among them, the microlens having the diameter of 3 ${\mu}m$ and the height of 1.5 ${\mu}m$ shown the best result was chosen, and then, optimized microlens was formed on a GaN template using conventional semiconductor process. Various microstructures were proposed to improve the light extraction efficiency (LEE) of the VLED for the simulation. The LEE was simulated using LightTools based on a Monte Carlo ray tracing. The microstructures with hemisphere, cone, truncated and cylinder pattern having diameter of 3 ${\mu}m$ were employed on the top layer of the VLED respectively. The improvement of the LEE by using the microstructure is 87% for the hemisphere, 77% for the cone, 53% for the truncated, 21% for the cylinder, compared with the LEE of the flat surface at the reflectance of 85%. The LEE was increased by 88% at the height of 1.5 ${\mu}m$, compared with the LEE of the flat surface. We found that the microlens on the top layer is the most suitable for increasing the LEE. In order to apply the proposed microlens on n-GaN surface, we fabricated microlens on a GaN template. A photoresist array having hexagonal-closed packed microlens was fabricated on the GaN template. Then, optimization of etching the GaN template was performed using a dry etching process with ICP-RIE. The dry etching carried out using a gas mixture of Cl2 and Ar, each having a flow rate of 16 sccm and 10 sccm, respectively with RF power of 50 W, ICP power of 900 W and chamber pressure of 2 mTorr was the optimum etching condition as shown in Fig. 2(a).

  • PDF