• 제목/요약/키워드: RF power inductively coupled plasma

검색결과 204건 처리시간 0.032초

$BCl_3$/Ar 플라즈마에서 $Cl_2$ 첨가에 따른 TiN 박막의 식각 특성 (Etch characteristics of TiN thin film adding $Cl_2$ in $BCl_3$/Ar Plasma)

  • 엄두승;강찬민;양설;김동표;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.168-168
    • /
    • 2008
  • Dimension of a transistor has rapidly shrunk to increase the speed of device and to reduce the power consumption. However, it is accompanied with several problems like direct tunneling through the gate dioxide layer and low conductivity characteristic of poly-Si gate in nano-region. To cover these faults, study of new materials is urgently needed. Recently, high dielectric materials like $Al_2O_3$, $ZrO_2$, and $HfO_2$ are being studied for equivalent oxide thickness (EOT). However, poly-Si gate is not compatible with high-k materials for gate-insulator. Poly Si gate with high-k material has some problems such as gate depletion and dopant penetration problems. Therefore, new gate structure or materials that are compatible with high-k materials are also needed. TiN for metal/high-k gate stack is conductive enough to allow a good electrical connection and compatible with high-k materials. According to this trend, the study on dry etching of TiN for metal/high-k gate stack is needed. In this study, the investigations of the TiN etching characteristics were carried out using the inductively coupled $BCl_3$-based plasma system and adding $Cl_2$ gas. Dry etching of the TiN was studied by varying the etching parameters including $BCl_3$/Ar gas mixing ratio, RF power, DC-bias voltage to substrate, and $Cl_2$ gas addition. The plasmas were characterized by optical emission spectroscopy analysis. Scanning electron microscopy was used to investigate the etching profile.

  • PDF

Ar/CF4 유도결합 플라즈마를 이용한 (Ba0.6Sr0.4)TiO3 박막의 식각 특성 (The Etching Characteristics of (Ba0.6Sr0.4)TiO3 films Using Ar/CF4 Inductively Coupled Plasma)

  • 강필승;김경태;김동표;김창일;이수재
    • 한국전기전자재료학회논문지
    • /
    • 제15권11호
    • /
    • pp.933-938
    • /
    • 2002
  • (Ba,Sr)TiO$_{4}$ (BST) thin films on Pt/Ti/SiO$_{2}$/Si substrates were deposited by a sol-gel method and the etch characteristics of BST thin films have been investigated as a function of gas mixing ratio. The maximum etch rate of the BST films was 440 $AA$/min under such conditions as: CF$_{4}$(CF$_{4}$+Ar) of 0.2, RF-power of 700 W, DC-bias voltage of -200 V, pressure of 15 mTorr and substrate temperature of 30 $^{circ}C$. The selectivities of BST to Pt, SiO$_{2}$ and PR were 0.38, 0.25 and 0.09, respectively. In the XPS (X-ray photoelectron spectroscopy) analysis, Barium (Ba) and Strontium (Sr) component in BST thin films formed low volatile compounds such as BaFx, SrFx, which are forms by the chemical reaction with F atoms and is removed by Ar ion bombardment. Titanium (Ti) is removed by chemical reaction such as TiF with ease. The result of secondary ion mass spectrometry (SIMS) analysis confirmed the existence of the BaFx, SrFK, TiFx.

CoFeB과 IrMn 자성 박막의 고밀도 반응성 이온 식각

  • 김은호;소우빈;공선미;정용우;정지원
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.232-232
    • /
    • 2010
  • 정보화 산업의 발달은 DRAM, flash memory 등을 포함한 기존의 반도체 메모리 소자를 대체할 수 있는 차세대 메모리 소자에 대한 개발을 요구하고 있다. 특히 magnetic random access memory (MRAM)는 SRAM과 대등한 고속화 그리고 DRAM 보다 높은 기록 밀도가 가능하고 낮은 동작 전압과 소비전력 때문에 대표적인 차세대 비휘발성 메모리로 주목받고 있다. 또한 MRAM소자의 고집적화를 위해서 우수한 프로파일을 갖고 재증착이 없는 나노미터 크기의 magnetic tunnel junction (MTJ) stack의 건식 식각에 대한 연구가 선행되어야 한다. 본 연구에서는 고밀도 반응성 이온 식각법(Inductively coupled plasma reactive ion etching; ICPRIE)을 이용하여 재증착이 없이 우수한 식각 profile을 갖는 CoFeB과 IrMn 박막을 형성하고자 하였다. Photoresist(PR) 및 Ti 박막의 두 가지 마스크를 이용하여 HBr/Ar, HBr/$O_2$/Ar 식각 가스들의 농도를 변화시키면서 CoFeB과 IrMn 박막의 식각 특성들이 조사되었다. 자성 박막과 동일한 조건에 대하여 hard mask로서 Ti가 식각되었다. 좋은 조건을 얻기 위해 HBr/Ar 식각 가스를 이용 식각할 때 pressure, bias voltage, rf power를 변화시켰고 식각조건에서 Ti 하드마스크에 대한 자성 박막들의 selectivity를 조사하고 식각 profile을 관찰하였다. 식각 속도를 구하기 위해 alpha step(Tencor P-1)이 사용되었고 또한 field emission scanning electron microscopy(FESEM)를 이용하여 식각 profile을 관찰함으로써 최적의 식각 가스와 식각 조건을 찾고자 하였다.

  • PDF

$BCl_3/Ar/Cl_2$ 유도결합 플라즈마를 이용한 ZnO 박막의 식각특성 연구 (Etch characteristics of ZnO thin films using an inductively coupled plasma)

  • 우종창;엄두승;양설;허경무;박정수;하태경;위재형;주영희;김동표;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2009년도 하계학술대회 논문집
    • /
    • pp.135-136
    • /
    • 2009
  • The etching characteristics of Zinc Oxide (ZnO) and etch selectivity of ZnO to $SiO_2$ in $BCl_3/Ar/Cl_2$ plasma were investigated. It was found that ZnO etch rate shows a non-monotonic behavior with increasing both Ar fraction in $BCl_3$ plasma, RF power, and gas pressure. The maximum ZnO etch rate of 53 nm/min was obtained for $BCl_3$(16 sccm)/Ar(4 sccm)/$Cl_2$(3 sccm) gas mixture. The chemical state of etched surfaces was investigated with X-ray photoelectron spectroscopy (XPS). From these data, the suggestions on the ZnO etch mechanism were made.

  • PDF

$Ar/Cl_{2}/CF_{4}$ 고밀도 플라즈마를 이용한 강유전체 $YMnO_3$의 건식식각 특성연구 (Dry Etch Characteristic of Ferroelectric $YMnO_3$ Thin Films Using High Density $Ar/Cl_{2}CF_{4}\;PAr/Cl_{2}/CF_{4}$ 고밀도lasma)

  • 박재화;김창일;장의구;이철인;이병기
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집 Vol.14 No.1
    • /
    • pp.213-216
    • /
    • 2001
  • Etching behaviors of ferroelectric $YMnO_3$ thin films were studied by an inductively coupled plasma (ICP). Etch characteristic on ferroelectric $YMnO_3$ thin film have been investigated in terms of etch rate, selectivity and etch profile. The maximum etch rate of $YMnO_3$ thin film is $300{\AA}/min$ at $Ar/Cl_2$ of 2/8, RF power of 800W, dc bias voltage of 200V, chamber pressure of 15mTorr and substrate temperature of $30^{\circ}C$. Addition of $CF_4$ gas decrease the etch rate of $YMnO_3$ thin film. From the results of XPS analysis, YFx compounds were found on the surface of $YMnO_3$ thin film which is etched in $Ar/Cl/CF_{4}$ plasma. The etch profile of $YMnO_3$ film is improved by addition of $CF_4$ gas into the $Ar/Cl_2$ plasma. These results suggest that fluoride yttrium acts as a sidewall passivants which reduce the sticking coefficient of chlorine on $YMnO_3$.

  • PDF

표면탄성파 필터 제작을 위한 Pt 박막 식각 (Etching of Pt Thin Film for SAW Filter Fabrication)

  • 최용희;송호영;박세근;이택주;오범환;이승걸;이일항
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 추계학술대회 논문집 Vol.16
    • /
    • pp.103-107
    • /
    • 2003
  • The inductively coupled plasma(ICP) etching process was selected to fabricate RF Surface Acoustic Wave(SAW) filters and a Pt thin film was sputtered on a $LiTaO_3$ substrate applied to electrode materials to reduce the spurious response and improve the power durability. Steep sidewall pattern was achieved employing $C_4F_8/Ar/Cl_2$ gas chemistry. We investigated an etching mechanism and parameter dependence of the Pt thin film about $C_4F_8$ addition. Sidewall etch angle was about $80^{\circ}$ at the $C_4F_8$ 20% mixing ratio. Fabricated SAW filter is consists of some series and parallel arm SAW resonators which work as impedance elements and show capacitance characteristics at out of the passband. It can be modified for $800{\sim}900\;MHz$ RF filters. External matching circuits were unnecessary.

  • PDF

Ar/$CHF_3$ 플라즈마를 이용한 SBT 박막에 대한 식각특성 연구 (Etching characteristic of SBT thin film by using Ar/$CHF_3$ Plasma)

  • 서정우;이원재;유병곤;장의구;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 1999년도 추계학술대회 논문집
    • /
    • pp.41-43
    • /
    • 1999
  • Among the feffoelectric thin films that have been widely investigated for ferroelectric random access memory (FRAM) applications, SrBi$_2$Ta$_2$$O_{9}$ thin film is appropriate to memory capacitor materials for its excellent fatigue endurance. However, very few studies on etch properties of SBT thin film have been reported although dry etching is an area that demands a great deal of attention in the very large scale integrations. In this study, the a SrBi$_2$Ta$_2$$O_{9}$ thin films were etched by using magnetically enhanced inductively coupled Ar/CHF$_3$ plasma. Etch properties, such as etch rate, selectivity, and etched profile, were measured according to gas mixing ratio of CHF$_3$(Ar$_{7}$+CHF$_3$) and the other process conditions were fixed at RF power of 600 W, dc bias voltage of 150 V, chamber pressure of 10 mTorr. Maximum etch rate of SBT thin films was 1750 A77in, under CHF$_3$(Ar+CHF$_3$) of 0.1. The selectivities of SBT to Pt and PR were 1.35 and 0.94 respectively. The chemical reaction of etched surface were investigated by X-ray photoelectron spectroscopy (XPS) analysis. The Sr and Ta atoms of SBT film react with fluorine and then Sr-F and Ta-F were removed by the physical sputtering of Ar ion. The surface of etched SBT film with CHF$_3$(Ar+CHF$_3$) of 0.1 was analyzed by secondary ion mass spectrometer (SIMS). Scanning electron microscopy (SEM) was used for examination of etched profile of SBT film under CHF$_3$(Ar+CHF$_3$) of 0.1 was about 85˚.85˚.˚.

  • PDF

The surface kinetic properties between $BCl_3/Cl_2$/Ar plasma and $Al_2O_3$ thin film

  • Yang, Xue;Kim, Dong-Pyo;Um, Doo-Seung;Kim, Chang-Il
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.169-169
    • /
    • 2008
  • To keep pace with scaling trends of CMOS technologies, high-k metal oxides are to be introduced. Due to their high permittivity, high-k materials can achieve the required capacitance with stacks of higher physical thickness to reduce the leakage current through the scaled gate oxide, which make it become much more promising materials to instead of $SiO_2$. As further studying on high-k, an understanding of the relation between the etch characteristics of high-k dielectric materials and plasma properties is required for the low damaged removal process to match standard processing procedure. There are some reports on the dry etching of different high-k materials in ICP and ECR plasma with various plasma parameters, such as different gas combinations ($Cl_2$, $Cl_2/BCl_3$, $Cl_2$/Ar, $SF_6$/Ar, and $CH_4/H_2$/Ar etc). Understanding of the complex behavior of particles at surfaces requires detailed knowledge of both macroscopic and microscopic processes that take place; also certain processes depend critically on temperature and gas pressure. The choice of $BCl_3$ as the chemically active gas results from the fact that it is widely used for the etching o the materials covered by the native oxides due to the effective extraction of oxygen in the form of $BCl_xO_y$ compounds. In this study, the surface reactions and the etch rate of $Al_2O_3$ films in $BCl_3/Cl_2$/Ar plasma were investigated in an inductively coupled plasma(ICP) reactor in terms of the gas mixing ratio, RF power, DC bias and chamber pressure. The variations of relative volume densities for the particles were measured with optical emission spectroscopy (OES). The surface imagination was measured by AFM and SEM. The chemical states of film was investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts.

  • PDF

유도결합플라즈마 공정에서 조건별 플라즈마 방출광 세기 변화에 따른 전자온도의 전기적, 광학적 진단에 관한 연구

  • 이예슬;박혜진;최진우;김우재;황상혁;조태훈;윤명수;권기청
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2016년도 제50회 동계 정기학술대회 초록집
    • /
    • pp.215.1-215.1
    • /
    • 2016
  • 플라즈마는 반도체, 디스플레이, 태양전지 등 다양한 산업 분야에 이용된다. 플라즈마 공정 시 수율 향상을 위해 플라즈마를 진단하는 기술이 필요한데, 대표적으로 전자온도가 있다. 반도체 공정의 낮은 압력과 높은 밀도의 플라즈마에서 전자온도는 1~10 eV 정도인데, 0.5 eV정도의 아주 적은 차이로도 공정 결과에 큰 영향을 미친다. 플라즈마의 전자온도를 측정하는 방법은 전기적 탐침 방법인 랑뮤어 탐침(Langmuir Probe)과 와이즈 프로브(Wise Probe)를 이용한 방법, 그리고 광학적 방법인 방출분광법(OES : Optical Emission Spectroscopy)이 있다. 전기적 탐침 방법은 직접 플라즈마 내부에 탐침을 넣기 때문에 불활성 기체를 사용한 공정에서는 잘 작동하지만 건식식각이나 증착에 사용할 경우 탐침의 오염으로 인한 오동작, 공정 시 생성된 샘플에 영향을 줄 수 있다는 단점이 있다. 반면에 방출분광법은 광학적 진단으로, 플라즈마를 사용하는 공정 진행 중에 외부에 광학계를 설치하여 플라즈마에서 발생하는 빛을 광학적으로 분석하기 때문에 공정에 영향을 미치지 않고, 공정 장비에 적용이 쉬운 장점을 가지고 있다. 본 연구에서는 RF Power를 인가한 유도결합플라즈마(ICP : Inductively Coupled Plasma) 공정에서 아르곤 가스와 산소 혼합가스 분압과 인가전압을 변화시켜 플라즈마 방출광 세기 변화에 따른 전자온도를 측정하였다. 전자온도 측정에는 전기적 방법인 랑뮤어 탐침, 와이즈 프로브를 이용한 방법과 광학적 방법인 방출분광법을 사용하여 측정하였으며 이를 비교 분석하였다.

  • PDF

Microfabrication of Submicron-size Hole on the Silicon Substrate using ICP etching

  • Lee, J.W.;Kim, J.W.;Jung, M.Y.;Kim, D.W.;Park, S.S.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.79-79
    • /
    • 1999
  • The varous techniques for fabrication of si or metal tip as a field emission electron source have been reported due to great potential capabilities of flat panel display application. In this report, 240nm thermal oxide was initially grown at the p-type (100) (5-25 ohm-cm) 4 inch Si wafer and 310nm Si3N4 thin layer was deposited using low pressure chemical vapor deposition technique(LPCVD). The 2 micron size dot array was photolithographically patterned. The KOH anisotropic etching of the silicon substrate was utilized to provide V-groove formation. After formation of the V-groove shape, dry oxidation at 100$0^{\circ}C$ for 600 minutes was followed. In this procedure, the orientation dependent oxide growth was performed to have a etch-mask for dry etching. The thicknesses of the grown oxides on the (111) surface and on the (100) etch stop surface were found to be ~330nm and ~90nm, respectively. The reactive ion etching by 100 watt, 9 mtorr, 40 sccm Cl2 feed gas using inductively coupled plasma (ICP) system was performed in order to etch ~90nm SiO layer on the bottom of the etch stop and to etch the Si layer on the bottom. The 300 watt RF power was connected to the substrate in order to supply ~(-500)eV. The negative ion energy would enhance the directional anisotropic etching of the Cl2 RIE. After etching, remaining thickness of the oxide on the (111) was measured to be ~130nm by scanning electron microscopy.

  • PDF