• Title/Summary/Keyword: Plasma Etching

Search Result 1,033, Processing Time 0.028 seconds

Resist characteristics and molecular structure control of polystyrene by plasma polymerization method (플라즈마중합법에 의한 폴리스티렌의 분자구조 제에 및 레지스트 특성 조사)

  • 박종관;김영봉;김보열;임응춘;이덕출
    • The Transactions of the Korean Institute of Electrical Engineers
    • /
    • v.45 no.3
    • /
    • pp.438-443
    • /
    • 1996
  • The effect of plasma polymerization conditions on the structure of the plasma polymerized styrene were investigated by using Fourier Transform Infrared Ray(FT-IR), Differential Scanning Calorimetry (DSC), Gel Permeation Chromatography(GPC). Plasma polymerized thin film was prepared using an interelectrode inductively coupled gas-flow-type reactor. We show that polymerization parameters of thin film affect sensitivity and etching resistance of plasma polymerized styrene is 1.41~3.93, and deposition rate of that are 32~383[.angs./min] with discharge power. Swelling and etching resistance becomes more improved with increasing discharge power during plasma polymerization. (author). 11 refs., 10 figs., 1 tab.

  • PDF

Nanometer Scale Vacuum Lithography using Plasma Polymerization and Plasma Etching (플라즈마 중합과 플라즈마 에칭을 이용한 나노미터 단위의 진공리소그래피)

  • 김성오;박복기;김두석;박진교;육재호;이덕출
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1998.06a
    • /
    • pp.131-134
    • /
    • 1998
  • This work was carried out to develop a pattern on the nanometer scale using plasma polymerization and plasma etching. This study is also aimed at developing a resist for the nano process and a vacuum lithography process. The thin films of plasma polymerization were fabricated by the plasma po1ymerization of inter-electrode capacitively coupled gas flow system. After delineating the pattern at accelerating voltage of 30[kV]. ranging the dose of 1∼500[${\mu}$C/$\textrm{cm}^2$], the pattern was developed with dry tree and formed by plasma etching. By analysing of the molecule structure using FT-lR, it was confirmed that the thin films of PPMST contains the functional radicals of the MST monomer. The thin films of PPMST had a highly crosslinked structure resulting in a higher molecule weight than the conventional resist.

  • PDF

Plasma Impedance Monitoring with Real-time Cluster Analysis for RF Plasma Etching Endpoint Detection of Dielectric Layers

  • Jang, Hae-Gyu;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.123.2-123.2
    • /
    • 2013
  • Etching endpoint detection with plasma impedance monitoring (PIM) is demonstrated for small area dielectric layers inductive coupled plasma etching. The endpoint is determined by the impedance harmonic signals variation from the I-V monitoring system. Measuring plasma impedance has been examined as a relatively simple method of detecting variations in plasma and surface conditions without contamination at low cost. Cluster analysis algorithm is modified and applied to real-time endpoint detection for sensitivity enhancement in this work. For verification, the detected endpoint by PIM and real-time cluster analysis is compared with widely used optical emission spectroscopy (OES) signals. The proposed technique shows clear improvement of sensitivity with significant noise reduction when it is compared with OES signals. This technique is expected to be applied to various plasma monitoring applications including fault detections as well as end point detection.

  • PDF

A Study on Wafer to Wafer Malfunction Detection using End Point Detection(EPD) Signal (EPD 신호궤적을 이용한 개별 웨이퍼간 이상검출에 관한 연구)

  • 이석주;차상엽;최순혁;고택범;우광방
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.4 no.4
    • /
    • pp.506-516
    • /
    • 1998
  • In this paper, an algorithm is proposed to detect the malfunction of plasma-etching characteristics using EPD signal trajectories. EPD signal trajectories offer many information on plasma-etching process state, so they must be considered as the most important data sets to predict the wafer states in plasma-etching process. A recent work has shown that EPD signal trajectories were successfully incorporated into process modeling through critical parameter extraction, but this method consumes much effort and time. So Principal component analysis(PCA) can be applied. PCA is the linear transformation algorithm which converts correlated high-dimensional data sets to uncorrelated low-dimensional data sets. Based on this reason neural network model can improve its performance and convergence speed when it uses the features which are extracted from raw EPD signals by PCA. Wafer-state variables, Critical Dimension(CD) and uniformity can be estimated by simulation using neural network model into which EPD signals are incorporated. After CD and uniformity values are predicted, proposed algorithm determines whether malfunction values are produced or not. If malfunction values arise, the etching process is stopped immediately. As a result, through simulation, we can keep the abnormal state of etching process from propagating into the next run. All the procedures of this algorithm can be performed on-line, i.e. wafer to wafer.

  • PDF

The Etching Properties of SBT Thin Films in Cl$_2$ Inductively Coupled Plasma (Cl$_2$ 유도결합 플라즈마를 이용한 SBT 박막의 식각특성)

  • Kim, Dong-Pyo;Kim, Chang-Il
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.50 no.5
    • /
    • pp.211-215
    • /
    • 2001
  • SBT thin films were etched at different content of $Cl_2$ in $Cl_2$/Ar or $Cl_2/N_2$(80%). As $Cl_2$ gas increased in $Cl_2$/Ar or $Cl_2/N_2$ gas plasma. the etch rate decreased. The result indicates that physical puttering of charged particles is dominant to chemical reaction in etching SBT thin films. To evaluate the etching mechanism of SBT thin films, x-ray photoelectron to chemical reaction in etching SBT thin films. To evaluate the etching mechanism of SBT thin films, x-ray photoelectron spectroscopy (XPS), secondary ion mass spectrometry (SIMS) and atomic force microscopy (AFM) were carried out. From the result of AFM, the rms values of etched samples in Ar only or $Cl_2$ only plasma were higher than that of as-deposited, $Cl_2$/Ar and $Cl_2/N_2$ plasma. This can be illustrated by a decrease of Bi content of nonvolatile etching products (Sr-Cl and Ta-Cl), which are revealed by XPS and SIMS.

  • PDF

A Study on the Machining Characteristics of CVD-SiC (CVD-SiC 소재의 가공 특성에 관한 연구)

  • Park, Hwi-Keun;Lee, Won-Seok;Kang, Dong-Won;Park, In-Seung;Lee, Jong-Chan
    • Journal of the Korean Society of Manufacturing Process Engineers
    • /
    • v.16 no.5
    • /
    • pp.40-46
    • /
    • 2017
  • A plasma gas control apparatus for semiconductor plasma etching processes securely holds a cathode for forming a plasma, confines the plasma during the plasma etching process, and discharges gas after etching. It is a key part of the etching process. With the advancement of semiconductor technology, there is increasing interest in parts for semiconductor manufacturing that directly affect wafers. Accordingly, in order to replace the plasma gas control device with a CVD-SiC material superior in mechanical properties to existing SiCs (Sintered-SiC, RB-SiC), a study on the grinding characteristics of CVD-SiC was carried out. It is confirmed that the optimal grinding condition was obtained when the result table feed rate was 2 m/min and the infeed depth was $5{\mu}m$.

Surface properties of Al(Si, Cu) alloy film after plasma etching (Al(Si, Cu)합금막의 플라즈마 식각후 표면 특성)

  • 구진근;김창일;박형호;권광호;현영철;서경수;남기수
    • Electrical & Electronic Materials
    • /
    • v.9 no.3
    • /
    • pp.291-297
    • /
    • 1996
  • The surface properties of AI(Si, Cu) alloy film after plasma etching using the chemistries of chlorinated and fluorinated gases with varying the etching time have been investigated using X-ray Photoelectron Spectroscopy. Impurities of C, Cl, F and O etc are observed on the etched AI(Si, Cu) films. After 95% etching, aluminum and silicon show metallic states and oxidized (partially chlorinated) states, copper shows Cu metallic states and Cu-Cl$_{x}$(x$_{x}$ (x$_{x}$ (1

  • PDF

The Development of Deep Silicon Etch Process with Conventional Inductively Coupled Plasma (ICP) Etcher (범용성 유도결합 플라즈마 식각장비를 이용한 깊은 실리콘 식각)

  • 조수범;박세근;오범환
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.7
    • /
    • pp.701-707
    • /
    • 2004
  • High aspect ratio silicon structure through deep silicon etching process have become indispensable for advanced MEMS applications. In this paper, we present the results of modified Bosch process to obtain anisotropic silicon structure with conventional Inductively Coupled Plasma (ICP) etcher instead of the expensive Bosch process systems. In modified Bosch process, etching step ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) step time is much longer than commercialized Bosch scheme and process transition time is introduced between process steps to improve gas switching and RF power delivery efficiency. To optimize process parameters, etching ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) time and ion energy effects on etching profile was investigated. Etch profile strongly depends on the period of etch / passivation and ion energy. Furthermore, substrate temperature during etching process was found to be an important parameter determining etching profile. Test structures with different pattern size have been etched for the comparison of the aspect ratio dependent etch rate and the formation of silicon grass. At optimized process condition, micropatterns etched with modified Bosch process showed nearly vertical sidewall and no silicon grass formation with etch rate of 1.2 ${\mu}{\textrm}{m}$/ min and the size of scallop of 250 nm.

Etch Characteristics of $SiO_2$ by using Pulse-Time Modulation in the Dual-Frequency Capacitive Coupled Plasma

  • Jeon, Min-Hwan;Gang, Se-Gu;Park, Jong-Yun;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.472-472
    • /
    • 2011
  • The capacitive coupled plasma (CCP) has been extensively used in the semiconductor industry because it has not only good uniformity, but also low electron temperature. But CCP source has some problems, such as difficulty in varying the ion bombardment energy separately, low plasma density, and high processing pressure, etc. In this reason, dual frequency CCP has been investigated with a separate substrate biasing to control the plasma parameters and to obtain high etch rate with high etch selectivity. Especially, in this study, we studied on the etching of $SiO_2$ by using the pulse-time modulation in the dual-frequency CCP source composed of 60 MHz/ 2 MHz rf power. By using the combination of high /low rf powers, the differences in the gas dissociation, plasma density, and etch characteristics were investigated. Also, as the size of the semiconductor device is decreased to nano-scale, the etching of contact hole which has nano-scale higher aspect ratio is required. For the nano-scale contact hole etching by using continuous plasma, several etch problems such as bowing, sidewall taper, twist, mask faceting, erosion, distortions etc. occurs. To resolve these problems, etching in low process pressure, more sidewall passivation by using fluorocarbon-based plasma with high carbon ratio, low temperature processing, charge effect breaking, power modulation are needed. Therefore, in this study, to resolve these problems, we used the pulse-time modulated dual-frequency CCP system. Pulse plasma is generated by periodical turning the RF power On and Off state. We measured the etch rate, etch selectivity and etch profile by using a step profilometer and SEM. Also the X-ray photoelectron spectroscopic analysis on the surfaces etched by different duty ratio conditions correlate with the results above.

  • PDF

High density plasma etching of novel dielectric thin films: $Ta_{2}O_{5}$ and $(Ba,Sr)TiO_{3}$

  • Cho, Hyun
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.11 no.5
    • /
    • pp.231-237
    • /
    • 2001
  • Etch rates up to 120 nm/min for $Ta_{2}O_{5}$ were achieved in both $SF_{6}/Ar$ and $Cl_{2}/Ar$ discharges. The effect of ultraviolet (UV) light illumination during ICP etching on $Ta_{2}O_{5}$ etch rate in those plasma chemistries was examined and UV illumination was found to produce significant enhancements in $Ta_{2}O_{5}$ etch rates most likely due to photoassisted desorption of the etch products. The effects of ion flux, ion energy, and plasma composition on (Ba, Sr)$TiO_3$ etch rate were examined and maximum etch rate ~90 nm/min was achieved in $Cl_{2}/Ar$ ICP discharges while $CH_{4}/H_{2}/Ar$ chemistry produced extremely low etch rates (${\leq}10\;nm/min$) under all conditions.

  • PDF