• 제목/요약/키워드: Masks

검색결과 612건 처리시간 0.028초

DPT를 위한 자동 레이아웃 분리 (Automatic Layout Decomposition for DPT)

  • 문동선;신현철;신재필
    • 대한전자공학회논문지SD
    • /
    • 제45권4호
    • /
    • pp.124-130
    • /
    • 2008
  • Double patterning technology (DPT)를 위한 자동 레이아웃 분리 기술을 개발하였다 CMOS 공정이 45nm와 그 이하로 점차 미세화 됨에 따라 리소그래피 해상도를 향상시키는 기술이 요구되고 있다. 최소 거리 규칙을 완화하기 위해 두 개의 마스크로 레이아웃을 나누어 두 번 패터닝 하는 DPT 기술이 기존 리소그래피의 제한을 해소하기 위해 제안되었다. 그러나 레이아웃을 DPT에 적합하게 두 개의 마스크로 나누는 것은 항상 가능하지 않다. 이러한 문제를 해결하기 위해 새로운 자동 스티칭 기술을 개발하였다. 실험 결과는 본 논문에서 제안한 DPT를 위한 자동 레이아웃 분리 방법이 고무적임을 보여준다.

강건추정자와 직선마스크를 이용한 스테레오 정합 (Stereo Matching Using Robust Estimators and Line Masks)

  • 김낙현;김경범;정성종
    • 대한기계학회논문집A
    • /
    • 제24권4호
    • /
    • pp.991-1000
    • /
    • 2000
  • Previous area-based stereo matching algorithms find the disparity by first computing the sum of squared differences (SSD) between corresponding points using a rectangular window, and then searching the position of the minimum SSD within the disparity range. These algorithms generate relatively many matching errors around depth discontinuities, since the SSD function may fail to search for the minimum because of varying disparity profiles in such areas. In this paper, in order to improve the matching accuracy around the depth discontinuities, a new correlation function based on robust estimation technique is proposed for stereo matching. In addition, while previous stereo algorithms utilize a single rectangular window for computing the correlation function, the proposed matching algorithm utilizes 4-directional line masks additionally to reduce the matching errors further. It has been turned out that the proposed algorithm reduces matching errors around depth discontinuities significantly. Experimental results are presented in this paper, comparing the performance of the proposed technique with those of previous algorithms using both synthetic and real images.

피코초 레이저의 공정변수에 따른 TSV 드릴링 특성연구 (Parametric Study of Picosecond Laser Hole Drilling for TSV)

  • 신동식;서정;김정오
    • 한국레이저가공학회지
    • /
    • 제13권4호
    • /
    • pp.7-13
    • /
    • 2010
  • Today, the most common process for generating Through Silicon Vias (TSVs) for 3D ICs is Deep Reactive Ion Etching (DRIE), which allows for high aspect ratio blind holes with low surface roughness. However, the DRIE process requires a vacuum environment and the use of expensive masks. The advantage of using lasers for TSV drilling is the higher flexibility they allow during manufacturing, because neither vacuum nor lithography or masks arc required and because lasers can be applied even to metal and to dielectric layers other than silicon. However, conventional nanosecond lasers have the disadvantage of causing heat affection around the target area. By contrast, the use of a picosecond laser enables the precise generation of TSVs with less heat affected zone. In this study, we conducted a comparison of thermalization effects around laser-drilled holes when using a picosecond laser set for a high pulse energy range and a low pulse energy range. Notably, the low pulse energy picosecond laser process reduced the experimentally recast layer, surface debris and melts around the hole better than the high pulse energy process.

  • PDF

Design of Microstereolithography System Based on Dynamic Image Projection for Fabrication of Three-Dimensional Microstructures

  • Cboi, Jae-Won;Ha, Young-Myoung;Lee, Seok-Hee;Choi, Kyung-Hyun
    • Journal of Mechanical Science and Technology
    • /
    • 제20권12호
    • /
    • pp.2094-2104
    • /
    • 2006
  • As demands for complex microstructures with high aspect ratios have increased, the existing methods, MEMS and LIGA, have had difficulties coping with the number of masks and fabricable heights. A microstereolithography technology can meet these demands because it has no need of masks and is capable of fabricating high aspect ratio microstructures. In this technology, 3D part is fabricated by stacking layers, 2D sections, which are sliced from STL file, and the Dynamic Image Projection process enables the resin surface to be cured by a dynamic image generated with $DMD^{TM}$ (Digital Micromirror Device) and one irradiation. In this paper, we address optical design process for implementing this microstereolithography system that takes the light path based on DMD operation and image-formation on the resin surface using an optical design program into consideration. To verify the performance of this implemented microstereolithography system, complex 3D microstructures with high aspect ratios were fabricated.

보호용 기능성 마스크를 응용한 패션 마스크 분석 (An Analysis on the Application of Functional Mask for Protection in Fashion Mask)

  • 최정화
    • 한국의류산업학회지
    • /
    • 제15권6호
    • /
    • pp.851-861
    • /
    • 2013
  • This study analyzed the fashionable characteristics of functional fashion mask types. This study reviewed the literature on masks and analyzed fashion photos found in fashion books, fashion collections and on internet fashion sites. The results were categorized into four characteristics. Integration of structure and function showed mask designs that connected to the hood and portable items. It represented the reflection of nomadic life, liberation, obscurity and the consciousness of discomfort. Signs of playfulness showed mask hybrids and animal images, the mask hybrids and humanoid images, the printing of animal characters, body parts and unusual material hybrids. It represented the liberation from a fixed identity, the loss of seriousness, the reduction of tension and the pursuit of pleasure and freedom. The duplicity of aggression and protection showed a futuristic or aggressive helmet facemask, an enclosed mask of intensive color, and the morphological hybrid of a disgusting or aggressive motif. It represented the end of human weakness, the desire of new self-expression and the longing of superhuman power. Fanciful decoration showed masks with glittery decoration, sunglasses with luxury decoration material, a medical facemask made of lace material and fanciful printing. It showed one facet of extreme consumption, the creation of new personality and value, the pursuit of high quality and a mutual coexistence of status and anonymity.

두 변화검출 마스크를 이용한 3차원 영상분할 초저속 동영상 부호화 (Very Low Rate Coding of Motion Video Using 3-D Segmentation with Two Change Detection Masks)

  • 이상미;김남철;손현
    • 대한전자공학회논문지
    • /
    • 제27권10호
    • /
    • pp.146-153
    • /
    • 1990
  • 아주 높은 압축률에서도 시각적으로 만족할 만한 동영상을 전송할 수 있는 3차원 영상 분할기반 부호화법을 제안하였다. 두개의 변화검출 마스크를 이용하여 동영상에서 의미있는 움직임 영역만을 효과적으로 추출하고 또한, 차영상을 분할하는 대신 현재 프레임을 직접 분할함으로써 높은 압축률에서도 우수한 품질의 영상을 얻을 수 있다. 실험을 통해, 제안된 방법은 Miss America의 연속영상을 360배 정도의 압축률로 전송하였을 경우에도 재생영상의 품질이 우수함을 확인하였다.

  • PDF

경계요소법을 이용한 위상변이 마스크의 단차 효과 분석 (Analysis of Topological Effects of Phase-Shifting Mask by Boundary Element Method)

  • 이동훈;김현준;이승걸;이종웅
    • 전자공학회논문지D
    • /
    • 제36D권11호
    • /
    • pp.33-44
    • /
    • 1999
  • 3차원 위상변이 마스크의 단차 효과를 분석하기 위해 투명 경계조건, 주기적인 경계조건, 및 연속조건을 가진 경계요소법을 광 리소그래피 공정 시뮬레이션에 새로이 적용하였으며, 해석적인 해와 참고문헌의 결과와 비교함으로써 구현된 모듈의 정확성을 검증하였다. 또한, 기존의 rigorous coupled wave analysis에 의한 방법에 비해 수렴성과 계산 시간 측면에서 경계요소법을 이용하는 것이 더 효율적임을 확인하였다. 끝으로 비교적 간단한 위상변이 마스크와 다층-위상변이 마스크에 대한 최적 설계 과정을 기술하였다.

  • PDF

한국의 탈이 지닌 시학적 이미지를 적용한 Fashion Illustration 연구 (A Study on the Fashion Illustration Applied Visual Image of the Traditional Korean Mask)

  • 주성희
    • 복식문화연구
    • /
    • 제2권2호
    • /
    • pp.265-282
    • /
    • 1994
  • The mask used in drama has been reappreciated, and it needs profound and systematic studies in order to form the mask to effective use. In research, the aesthestic values in the masks of he latter period of Chosun(the mideighteenth century-the early nineteenth century) was examined through the analysis of traditional drama in accordance with the historical social background. In the later period of Chosun, the active performance of the mask in company with the occurrence of a popular movement caused by people's self-awakening showed a close relationship between the development of the mask and its historical background the moulding characteristic of the mask was analysed before and after the eighteenth century, and regionally in the south and in the middle and the north. The mask express the quality of art before he eighteenth century. It showed, on the other hand, a strong social nature and a touch of satires on society in the south and a religional interest in he middle and the north. This study shows from the viewpoint of a aesthetics that traditional Korean mask during Chosun period had comfortable and voluminous forms with beauty curved lines and colors and rhythm. The aesthetic values in mask as expressed through the aesthetic characteristics have been classified the beauty of nature the beauty of personality, the beauty of traditions. Visual image with these beauty in masks were expressed into fashion illustration of suits and dresses.

  • PDF

The study of shape of electrodes and I-V characteristics for Ultraviolet LED

  • Trung, Nguyen Huu;Dang, Vu The;Hieu, Nguyen Van
    • 전기전자학회논문지
    • /
    • 제17권3호
    • /
    • pp.221-228
    • /
    • 2013
  • About functional parameters of a LED/UVLED (Light Emitting Diode/Ultra Violet LED), one of the most important parameters is the I-V characteristic. By researching factors affect to the I-V characteristic of uvled, we found that beside of the structure of the device itself, there is the influence of the electrode materials, electrode shapes, the process of wiring and packaging. In this work, we want to improve the performance of UVLED to find out the optimal mask design principles. The study is based on theoretical mathematical models, as well as the use of simulation software tool Comsol. From all results obtained, the team has improved mask design to manufacture electrodes for GaN-based UVLED. Electrode masks are designed by three softwares, which are Intellisuite, Klayout and AutoCad. Intellisuite masks would be used in fabrication simulation while Klayout and AutoCad are used to fabricate electrodes in experiments. As well as, we silmulated the structure of an uvled 355nm emission wavelength by TCAD software, in order to compare with uvled sample that has the same emission wavelength.

Fabrication of metal nano-wires using carbon nanotube masks

  • Yun, W.S.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.175-175
    • /
    • 1999
  • Circumventing problems lying in the conventional lithographic techniques, we devised a new method for the fabrication of nanometer scale metal wires inspired by the unique characteristics of carbon nanotubes (CNTs). Since carbon nanotubes could act as masks when CNT-coated thin Au/Ti layer on a SiO2 surface was physically etched by low energy argon ion bombardment 9ion milling), Au/Ti nano-wires were successfully formed just below the CNTs exactly duplicating their lateral shapes. Cross-sectional analysis by transmission electron microscopy revealed that the edge of the metal wire was very sharply developed indicating the great difference in the milling rates between the CNTs and the metal layer as well as the good directionality of the ion milling. We could easily find a few nanometer-wide Au/Ti wires among the wires of various width. After the formation of nano-wires, the CNTs could be pushed away from the metal nano-wire by atomic force microscopy, The lateral force for the removal of the CNTs are dependent upon the width and shape of the wires. Resistance of the metal nano-wires without the CNTs was also measured through the micro-contacts definted by electron beam lithography. since this CNT-based lithographic technique is, in principle, applicable to any kinds of materials, it can be very useful in exploring the fields of nano-science and technology, especially when it is combines with the CNT manipulation techniques.

  • PDF