• Title/Summary/Keyword: Mask material

Search Result 266, Processing Time 0.033 seconds

Fabrication of Nano-mask Using Porous Alumina Membrane (다공성 알루미나 박막을 이용한 나노마스크 제작)

  • Jung, Kyung-Han;Ryu, Kil-Yong;Chang, Jeong-Soo;Kwon, Young-Soo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.364-365
    • /
    • 2006
  • One of the promising routes for producing highly ordered nanostructures is a template method using the porous alumina membrane (PAM). Because the PAM is mechanically, chemically, thermally stabile with highly ordered structure, many researchers have studied under various experimental conditions to fabricate nanostructures. We present the information on the fabrication of about 300 nm nano-mask which have important applications for various patterned nanostructures.

  • PDF

Thermal Deformation Analysis of Shadow Mask in a Flat TV and Prediction of Electron Beam Landing Shift by FEM (유한요소법에 의한 평면 TV 새도우마스크의 열변형해석 및 전자빔 오착 예측)

  • Kim, Jeong;Park, Soo-Kil;Kang, Beom-Soo
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.26 no.11
    • /
    • pp.2297-2304
    • /
    • 2002
  • Two-dimensional and three-dimensional finite element methods have been used to analyze the deformation behavior of a shadow mask due to thermal and tension load. The shadow mask inside the Braun tube of a TV set has numerous slits through which the electron beams are guided to land on the designed phosphor of red, green or blue. Its thermal deformation therefore causes landing shift of the electron beam and results in decolorization of a screen. For the realistic finite element analysis, the effective thermal conductivity and the effective elastic modulus arc calculated, and then the shadow mask is modeled as shell without slits. Next a transient thermal analysis of the shadow mask is performed, wherein thermal radiation is a major heat transfer mechanism. Analysis of the resulting thermal deformation is followed, from which the landing shift of the electron beam is obtained. The present finite element scheme may be efficiently used to reduce thermal deformation of a shadow mask and in developing prototypes of a large screen flat TV.

Improved Margin of Absorber Pattern Sidewall Angle Using Phase Shifting Extreme Ultraviolet Mask (위상변위 극자외선 마스크의 흡수체 패턴의 기울기에 대한 오차허용도 향상)

  • Jang, Yong Ju;Kim, Jung Sik;Hong, Seongchul;Ahn, Jinho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.15 no.2
    • /
    • pp.32-37
    • /
    • 2016
  • Sidewall angle (SWA) of an absorber stack in extreme ultraviolet lithography mask is considered to be $90^{\circ}$ ideally, however, it is difficult to obtain $90^{\circ}$ SWA because absorber profile is changed by complicated etching process. As the imaging performance of the mask can be varied with this SWA of the absorber stack, more complicated optical proximity correction is required to compensate for the variation of imaging performance. In this study, phase shift mask (PSM) is suggested to reduce the variation of imaging performance due to SWA change by modifying mask material and structure. Variations of imaging performance and lithography process margin depending on SWA were evaluated through aerial image and developed resist simulations to confirm the advantages of PSM over the binary intensity mask (BIM). The results show that the variations of normalized image log slope and critical dimension bias depending on SWA are reduced with PSM compared to BIM. Process margin for exposure dose and focus was also improved with PSM.

A study on fashion mask design trends for individual safety protection from harmful environments (유해환경으로부터 개인의 안전보호를 위한 패션 마스크 디자인 경향)

  • Dal A Lee;Chan Ho Kim
    • Journal of the Korea Fashion and Costume Design Association
    • /
    • v.24 no.4
    • /
    • pp.101-116
    • /
    • 2022
  • The purpose of this study is to understand the trends of fashion mask designs as a fashion item with characteristics to protect individual health and safety from harmful environments. To this end, the concept, the trend analysis of the domestic and foreign mask markets, the type, characteristics of functional masks, and the design trend of fashion masks were analyzed. Research methods included case studies and literaturte on mask design, fashion magazines, fashion brand websites, fashion collections, and promotional material. First, masks for personal safety were classified as protective function masks from the natural environment, functional masks by industrial groups, masks for protective functions from biohazards, and masks for protective functions from various external activities. Through this analysis, the design trends of fashion masks were analyzed. The functional orientation of structure and functionality, the environmental orientation of sustainable eco-friendly methods, the fashion orientation of individual fashion styling, and the social functional orientation tend to transmit social messages. In the harmful environment of everyday life, items such as fashion masks with functionality and fashion characteristics should be continuously studied to integrate functional and design characteristics that can contribute to the future fashion industry and the fashion market as a sense of unity and responsibility.

Fabrication and Characterizations of Nickel Metal Mask with fine Pitch by Additive Process (Additive 공정을 이용한 미세 피치용 니켈 메탈마스크의 제조 및 특성평가)

  • Park, Eui-Cheol;Lim, Jun-Hyung;Kim, Kyu-Tae;Park, Si-Hong;Hwang, Soo-Min;Shim, Jong-Hyun;Jung, Seung-Boo;Kim, Bong-Soo;Joo, Jin-Ho
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.11
    • /
    • pp.925-931
    • /
    • 2007
  • We successively fabricated the Ni metal mask by additive method and evaluated the effects of wetting agents addition on the microstructure, hardness, and friction coefficient. In the process, the additive patterns with fine hole and pitch were made by photolithography technique and subsequently Ni plate was electroformed on the patterns. We found that the microstructure and mechanical properties were significantly varied when the different combinations of the wetting agents were used. When the wetting agents of both SF-1 and SF-2 were added, the microstructure consisted of crystal and amorphous phases, the grain size reduced to 5-40 nm, the RMS value decreased to 11.4 nm and the wear resistance improved. In addition, the hardness was as high as 638 Hv which is higher than that of commercial stainless steel mask and this improvement is probably due to the presence of amorphous Phase and fine grain size. The improvement of the wear resistance can provide a higher reliability and a longer service life.

A Study on Intentions of Tongyeng Ogwangdae Costume : Focused on dialogs (통영오광대 복식의 의도성에 관한 연구 : 대사를 중심으로)

  • Kim, Cho-Young;Kim, Eun-Jung
    • Fashion & Textile Research Journal
    • /
    • v.17 no.4
    • /
    • pp.541-549
    • /
    • 2015
  • Korean traditional mask play was done at outdoor to let spectators join the play and take mask at performance and to have disadvantage of poor transfer of dialog to the spectators. Performers transferred dialog directly and/or indirectly by using visual costume, and Tongyeong Ogwangdae with many dialogue expressions concerning the costume made use of proper intention to help spectators understand. In this study, the author watched performance of Tongyeong Ogwangdae to collect and investigate not only image material but also photograph material and to classify intention of the costume into three: First, contents of the dialog were intended to make shape. The costumes of Hongbaek Yangban, Meoktal Yangban and Jorijung of the 2nd section gave spectators contents of the play, and the costume of Halmi Yangban of Nochangtal of the 4th section described dialog of Halmi. Second, dialog had connotative metaphor. The costume of Yeongno of Yeongnotal of the 3rd section symbolized an unfinished dragon, while that of Halmi of Nongchangtal of the 4th section did sacred of Halmi. And, Nongchagtal Ai's costume of the 4th section prayed for value of Ai, richness of the life and fecundity. Third, conflict between characters was intended by indirect media. The costume of Maltukee of metaphor mask of the 2nd section became base of the assertion supporting that Maltukee had better birth history than Yangban had, and the costume of Yeongnoyangban of Yeongnotal of the 3rd section produced conflict at disclosure of identity.

In-Situ Dry-cleaning (ISD) Monitoring of Amorphous Carbon Layer (ACL) Coated Chamber

  • Lee, Ho-Jae;Park, George O.;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.183-183
    • /
    • 2012
  • In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of $2000{\AA}$ and $5000{\AA}$. Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process.

  • PDF

Infinitely high selectivity etching of SnO2 binary mask in the new absorber material for EUVL using inductively coupled plasma

  • Lee, S.J.;Jung, C.Y.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.285-285
    • /
    • 2011
  • EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Application of femtosecond laser hole drilling with vibration for thin Invar alloy using fine metal mask in AMOLED manufacturing process (AMOLED 제조공정에 사용되는 Fine Metal Mask 용 얇은 Invar 합금의 진동자를 이용한 펨토초 레이저 응용 홀 드릴링)

  • Choi, Won-Suk;Kim, Hoon-Young;Shin, Young-Gwan;Choi, Jun-ha;Chang, Won-Seok;Kim, Jae-Gu;Cho, Sung-Hak;Choi, Doo-Sun
    • Design & Manufacturing
    • /
    • v.14 no.3
    • /
    • pp.44-49
    • /
    • 2020
  • One of display trends today is development of high pixel density. To get high PPI, a small size of pixel must be developed. RGB pixel is arranged by evaporation process which determines pixel size. Normally, a fine metal mask (FMM; Invar alloy) has been used for evaporation process and it has advantages such as good strength, and low thermal expansion coefficient at low temperature. A FMM has been manufactured by chemical etching which has limitation to controlling the pattern shape and size. One of alternative method for patterning FMM is laser micromachining. Femtosecond laser is normally considered to improve those disadvantages for laser micromachining process due to such short pulse duration. In this paper, a femtosecond laser drilling for thickness of 16 ㎛ FMM is examined. Additionally, we introduce experimental results for controlling taper angle of hole by vibration module adapted in laser system. We used Ti:Sapphire based femtosecond laser with attenuating optics, co-axial illumination, vision system, 3-axis linear stage and vibration module. By controlling vibration amplitude, entrance and exit diameters are controllable. Using vibrating objective lens, we can control taper angle when femtosecond laser hole drilling by moving focusing point. The larger amplitude of vibration we control, the smaller taper angle will be carried out.

A Study on the Analysis of Radiation Dose for Thermoplastic Material and 3D Print Filament Materials (열가소성 플라스틱 재질과 3D 프린트 필라멘트 재질에 대한 방사선량 분석에 관한 연구)

  • Lee, Dong-Yeon
    • Journal of the Korean Society of Radiology
    • /
    • v.15 no.2
    • /
    • pp.181-189
    • /
    • 2021
  • This study is a prior research to manufacture a thermoplastic mask, which is a fixture used in radiation therapy, by 3D printing. It proceeded to analyze the filament material that can replace the thermoplastic. Among the commercially available filament materials, a material having similar characteristics to that of a thermoplastic mask was selected and the radiation dose was compared and analyzed. The experiment used Monte Carlo simulation. The shape in which the mask fixed the head was simulated for the ICRU sphere. The photon fluence was calculated at the skin Hp (0.07), the lens Hp (3), and the whole body Hp (10) by applying a thermoplastic plastic material and a filament material. As a result, when looking at the relative dose based on the thermoplastic plastic material, the difference was approximated within 4%. The material showing the most similar dose was PA-nylon. In selecting an appropriate filament material, it should be selected by comprehensively considering various conditions such as economical efficiency and radiation effects. It is thought that the results of this study can be used as basic data.