• Title/Summary/Keyword: LCD mask

Search Result 41, Processing Time 0.039 seconds

6 Mask LTPS CMOS Technology for AMLCD Application

  • Park, Soo-Jeong;Lee, Seok-Woo;Baek, Myoung-Kee;Yoo, Yong-Su;Kim, Chang-Yeon;Kim, Chang-Dong;Kang, In-Byeong
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2007.08b
    • /
    • pp.1071-1074
    • /
    • 2007
  • 6Mask CMOS process in low temperature polycrystalline silicon thin film transistors (poly-Si TFTs) has been developed and verified by manufacturing a 6Mask CMOS AMLCD panel. The novel 6Mask CMOS process is realized by eliminating the storage mask, gate mask and via open mask of conventional structure.

  • PDF

LCD Photo-mask Using Commercial LCD Panel (상용 LCD 패널을 이용한 광 마스크 제작)

  • Lee, Seung-Ik;Koh, Jeongh-Hyun;Lee, Sang-Young;Park, Jang-Ho;Soh, Dea-Wha
    • Journal of the Speleological Society of Korea
    • /
    • no.77
    • /
    • pp.21-30
    • /
    • 2007
  • Photo-lithography lies in the middle of the wafer fabrication process. It is often considered as the most critical step in the IC process. We use a mask in exposure steps of the photo-lithography. Typically, 20 to 25 different levels of masks are required to complete an IC device. That means, if a photo process can be developed with the use of only one photo mask, we can reduce more process cost. To satisfy this, we plan to develop an alternative photo mask. For this reason, we chose to use a LCD. We expect to develop a LCD panel that can be changed by electrical control. This is the main idea about the adjustive photo mask. The Photo mask made of LCD panel will replace the former one.

The fabrication of TFTs for LCD using the 3mask process

  • Yoo, Soon-Sung;Cho, Heung-Lyul;Kwon, Oh-Nam;Nam, Seung-Hee;Chang, Yoon-Gyoung;Kim, Ki-Yong;Cha, Soo-Yeoul;Ahn, Byung-Chul;Chung, In-Jae
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07b
    • /
    • pp.948-951
    • /
    • 2005
  • New technology that reduces photolithography process steps from 4 to 3 in fabrication of TFT LCD is introduced. The core technology for 3mask-TFTs is the lift-off process [1], by which the PAS and PXL layer are formed simultaneously. To evaluate the stability of this lift-off process, outgases from photo resist on a substrate during ITO deposition and the quality of ITO film were analyzed and the conventional photo resist stripper machine which operates lift-off process was examined to see its ability to reduce particle problems of the machine. Through the development of total process and design for TFTs using this 3mask technology, panels in TN and IPS modes which exhibit same performances of a display using a conventional process were achieved. In addition, this process was already verified in the mass production line and now some products are being produced by the 3mask technology.

  • PDF

PM OLED Fabrication with New Method of Metal Cathode Deposition Using Shadow Mask

  • Lee, Ho-Chul;Kang, Seong-Jong;Yi, Jung-Yoon;Kim, Ho-Eoun;Kwon, Oh-June;Hwang, Jo-Il;Kim, Jeong-Moon;Roh, Byeong-Gyu;Kim, Woo-Young
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2006.08a
    • /
    • pp.987-989
    • /
    • 2006
  • 1.52" $130(RGB){\times}130$ full color PM OLED device with $70\;{\mu}m{\times}210\;{\mu}m$ of sub-pixel pitch was fabricated using shadow mask method for metal cathode deposition. Instead of conventional patterning process to form cathode separator via photolithography, regularly patterned shadow mask was applied to deposit metal cathode in this OLED display. Metal cathode was patterned via 2-step evaporation using shadow mask with shape of rectangular stripe and its alignment margin is $2.5\;{\mu}m$. Technical advantages of this method include reduction of process time according to skipping over photolithographic process for cathode separator and minimizing pixel shrinkage caused by PR cathode separator as well as improving lifetime of OLED device.

  • PDF

Fabrication of TFTs for LCD using 3-Mask Process

  • You, Soon-Sung;Cho, Heung-Lyul;Kwon, Oh-Nam;Nam, Seung-Hee;Chang, Yoon-Gyoung;Kim, Ki-Yong;Cha, Soo-Yeoul;Ahn, Byung-Chul;Chung, In-Jae
    • Journal of Information Display
    • /
    • v.6 no.3
    • /
    • pp.18-21
    • /
    • 2005
  • A new technology for reducing photolithography process from a four step to a three step process in the fabrication of TFT LCD is introduced. The core technology for 3-mask-TFT processes is the lift-off process [1], by which the PAS and PXL layers can be formed simultaneously. A different method of the lift-off process was developed in order to enhance the performance of efficiency with conventional positive and not negative PR which is the generally used in other lift-off process. In addition, the removal capacity of the ITO/PR in lift-off process was evaluated. The evaluation results showed that the new process can be run in conventional TFT production condition. In order to apply this new process in existing TFT process, several tests were conducted to ensure stability of the TFT process. It was found that the outgases from PR on the substrate in ITO sputtering chamber do not raise any problem, and the deposited ITO film beside the PR has conventional ITO qualities. Furthemore, the particles that were produced due to the ITO chips in PR strip bath could be reduced by the existing filtering system of stripper. With the development of total process and design of the structure for TFT using this technology, 3-mask-panels were achieved in TN and IPS modes, which showed the same display performances as those with the conventional 4mask process. The applicability and usefulness of the 3-mask process has already verified in the mass production line and in fact it currently being used for the production of some products.

Dry Etch Process Development for TFT-LCD Fabrication Using an Atmospheric Dielectric Barrier Discharge

  • Choi, Shin-Il;Kim, Sang-Gab;Choi, Seung-Ha;Kim, Shi-Yul;Kim, Sang-Soo;Lee, Seung-Hun;Kwon, Ho-Cheol;Kim, Gon-Ho
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2008.10a
    • /
    • pp.1272-1275
    • /
    • 2008
  • We present the development of dry etch process for the liquid crystal display (LCD) fabrication using a dielectric barrier discharge (DBD) system at atmospheric pressure. In this experimental work, the dry etch characteristics and the electrical properties of thin film transistor are evaluated by using the scanning electron microscopy and electric probe, and TFT-LCD panel ($300\;mm\;{\times}\;400\;mm$) is manufactured with the application of the amorphous silicon etch step in the 4 mask and 5 mask processes.

  • PDF

Liquid Crystal Display Mode with a Single Polarizer based on Switchable Microlens Arrays

  • Kim, Young-Wook;Gwag, Jin-Seog;Lee, Se-Hyun;Jeong, Ji-Won;Kim, Jae-Hoon;Yu, Change-Jae
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.620-622
    • /
    • 2009
  • We demonstrated a liquid crystal display (LCD) mode with a single polarizer based on the array of a switchable microlens consisting of a circular stop mask and its complementary open mask. The focused beam passed through the open mask and thus the bright state was obtained, while the defocused beam was blocked by the stop mask and the complementary open mask. It is expected that our single-polarizer LCD mode is applicable to low cost displays.

  • PDF

Surface Relief Hologram Mask Recording Simulation and Optimization Based on SDTA in the Fresnel Diffraction Zone (Fresnel 영역에서의 SDTA 방법을 이용한 전산묘사에 의한 Surface Relief Hologram Mask 기록 조건 최적화)

  • Lee, Sung-Jin;Dominguez-Caballero, Jose;Barbastathis, George
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.33 no.8
    • /
    • pp.793-798
    • /
    • 2009
  • In this paper, the simulation and optimization of SRH (Surface Relief Hologram) masks for printing LCD gate patterns using TIR (Total Internal Reflection) holographic lithography was investigated. A simulation and optimization algorithm based on SDTA (Scalar Diffraction Theory Analysis) method was developed. The accuracy of the algorithm was compared to that of the RCWA (Rigorous Coupled Wave Analysis) method for estimating the Fresnel diffraction pattern of Cr amplitude masks for the given system geometry. In addition, the results from the optimization algorithm were validated experimentally. It was found that one to the most important conditions for the fabrication of SRH masks is to avoid nonlinear shape distortions of the resulting grating. These distortions can be avoided by designing SRH masks with recorded gratings having small aspect ratios of width versus depth. The optimum gap size between the Cr and SRH masks was found using the optimization algorithm. A printed LCD gate pattern with a minimum line width of $1.5{\mu}m$ exposed using the optimized SRH mask was experimentally demonstrated.

Stage System for LCD Exposure Equipment Using Touch-type Displacement Sensor (접촉형 변위센서를 이용한 LCD노광기용 스테이지 시스템)

  • Yim, Kwang-Kuk;Seo, Hwa-Il;Cho, Hyun-Chan;Kim, Kwang-Sun;Kang, Heung-Seok
    • Journal of the Semiconductor & Display Technology
    • /
    • v.6 no.1 s.18
    • /
    • pp.7-10
    • /
    • 2007
  • In an effort to reduce weaknesses of existing laser displacement sensor-based system, a sensing device for distance and balance of mask-substrate gap using touch-type displacement sensor was suggested. The device suggested in this study is expected to solve the problems of prices and reflections, by means of a touch-type sensor. LCD exposure equipment stage system including suggested sensing device was realized to assess the characteristics of sensing the balance and gap between mask and substrate. It was verified that a touch-type displacement sensor-based device to adjust the balance and distance of mask-substrate gap suggested in this study can be applicable to LCD expose equipment in practice.

  • PDF